Modelsim存波形文件

$dumpfile:存波形文件;

$dumpvars:指示开始存所有信号的波形

eg: initial begin

        $dumpfile(“counter.vcd”);

        $dumpvars;

      end

原文地址:https://www.cnblogs.com/freshair_cnblog/p/2317980.html