关于timescale

。格式

       `timescale time_unit/time_precision

。定义

     该命令会影响编译器指令,即

   time_unit用于定义仿真模块中仿真时间和延迟时间的基准单位,即模块

   中的时间值是以time_unit为单位的;

   time_precision用于声明仿真时间的精度,因为模块中的时间值可能是

   小数,根据time_precision与time_unit的比,确定精确到小数点后几

   位。

。注意

      若存在多个timescale,有多个time_precision时,模块会以最小的

   time_precision决定仿真精度。

原文地址:https://www.cnblogs.com/freshair_cnblog/p/1986897.html