ModelSim编译Altera仿真库的步骤

1、新建库。

          在ModelSim中新建一个库,要注意库文件所在的目录,默认是与

     work库在同一个目录下的,建议在ModelSim安装目录下另起一个文

     件夹存放用户自建的仿真库文件。在录入库的目录时要注意,一般情况

     下目录分级是用右斜杆‘\’,但是在ModelSim中应该用左斜杆 ‘/’

     (当然用右斜杠也能识别出来,因为ModelSim会把两者映射起来)。

     另外,建议每个model源文件对应一个库,不要把所有的源文件都集中

     到一个库里面。例如编译220model.v时,建一个220model的库;编

     译altera_mf.v时,建一个altera_mf的库。

2、编译仿真源文件。

          Modelsim中,Compile->Compile…,上面的下拉栏中选择编译

     结果存放在哪个库中,这个一定要注意,因为默认是存放在work库中

     的。下面的下拉栏选择仿真源文件所在的目录,例如Altera的源文件一

     般放在‘安装目录\quartus\eda\sim_lib’中。

原文地址:https://www.cnblogs.com/freshair_cnblog/p/1986779.html