Quartus中两个要命的错误。

1.

Warning: Synthesized away the following node(s):
Warning: Synthesized away the following RAM node(s):
Warning (14320): Synthesized away node "MEMw:MEMw_inst|altsyncram:altsyncram_component|altsyncram_n4r1:auto_generated|q_b[0]"

2.

Warning: Design contains 18 input pin(s) that do not drive logic
Warning (15610): No output dependent on input pin "W[0]"
Warning (15610): No output dependent on input pin "Clk"
Warning (15610): No output dependent on input pin "Rst"

原文地址:https://www.cnblogs.com/fkl523/p/3570653.html