第20章 USART—串口通讯

本章参考资料:《STM32F76xxx参考手册》USART章节。

学习本章时,配合《STM32F76xxx参考手册》USART章节一起阅读,效果会更佳,特别是涉及到寄存器说明的部分。

特别说明,本书内容是以STM32F76xxx系列控制器资源讲解。

20.1  串口通讯协议简介

串口通讯(Serial Communication)是一种设备间非常常用的串行通讯方式,因为它简单便捷,大部分电子设备都支持该通讯方式,电子工程师在调试设备时也经常使用该通讯方式输出调试信息。

在计算机科学里,大部分复杂的问题都可以通过分层来简化。如芯片被分为内核层和片上外设;STM32 HAL库则是在寄存器与用户代码之间的软件层。对于通讯协议,我们也以分层的方式来理解,最基本的是把它分为物理层和协议层。物理层规定通讯系统中具有机械、电子功能部分的特性,确保原始数据在物理媒体的传输。协议层主要规定通讯逻辑,统一收发双方的数据打包、解包标准。简单来说物理层规定我们用嘴巴还是用肢体来交流,协议层则规定我们用中文还是英文来交流。

下面我们分别对串口通讯协议的物理层及协议层进行讲解。

20.1.1  物理层

串口通讯的物理层有很多标准及变种,我们主要讲解RS-232标准 ,RS-232标准主要规定了信号的用途、通讯接口以及信号的电平标准。

使用RS-232标准的串口设备间常见的通讯结构见 20-1。

 

20-1 串口通讯结构图

在上面的通讯方式中,两个通讯设备的DB9接口”之间通过串口信号线建立起连接,串口信号线中使用“RS-232标准”传输数据信号。由于RS-232电平标准的信号不能直接被控制器直接识别,所以这些信号会经过一个“电平转换芯片”转换成控制器能识别的“TTL校准”的电平信号,才能实现通讯。

1. 电平标准

根据通讯使用的电平标准不同,串口通讯可分为TTL标准及RS-232标准,见 20-1。

20-1  TTL电平标准与RS232电平标准

通讯标准

电平标准(发送端)

5V  TTL

逻辑12.4V-5V

逻辑00~0.5V

RS-232

逻辑1-15V~-3V

逻辑0+3V~+15V

我们知道常见的电子电路中常使用TTL的电平标准,理想状态下,使用5V表示二进制逻辑1,使用0V表示逻辑0;而为了增加串口通讯的远距离传输及抗干扰能力,它使用-15V表示逻辑1+15V表示逻辑0。使用RS232TTL电平校准表示同一个信号时的对比见 20-2。

 

20-2 RS-232TTL电平标准下表示同一个信号

因为控制器一般使用TTL电平标准,所以常常会使用MA3232芯片对TTLRS-232电平的信号进行互相转换。

2. RS-232信号线

在最初的应用中,RS-232串口标准常用于计算机、路由与调制调解器(MODEN,俗称“猫”)之间的通讯 ,在这种通讯系统中,设备被分为数据终端设备DTE(计算机、路由)和数据通讯设备DCE(调制调解器)。我们以这种通讯模型讲解它们的信号线连接方式及各个信号线的作用。

在旧式的台式计算机中一般会有RS-232标准的COM(也称DB9接口),见 20-3。

 

20-3 电脑主板上的COM口及串口线

其中接线口以针式引出信号线的称为公头,以孔式引出信号线的称为母头。在计算机中一般引出公头接口,而在调制调解器设备中引出的一般为母头,使用上图中的串口线即可把它与计算机连接起来。通讯时,串口线中传输的信号就是使用前面讲解的RS-232标准调制的。

在这种应用场合下,DB9接口中的公头及母头的各个引脚的标准信号线接法见 20-4及 20-2。

 

20-4 DB9标准的公头及母头接法

20-2 DB9信号线说明(公头,为方便理解,可把DTE理解为计算机,DCE理解为调制调解器)

序号

名称

符号

数据方向

说明

1

载波检测

DCD

DTEàDCE

Data Carrier Detect,数据载波检测,用于DTE告知对方,本机是否收到对方的载波信号

2

接收数据

RXD

DTEßDCE

Receive Data,数据接收信号,即输入 。

3

发送数据

TXD

DTEàDCE

Transmit Data,数据发送信号,即输出。两个设备之间的TXDRXD应交叉相连

4

数据终端 (DTE) 就绪

DTR

DTEàDCE

Data Terminal Ready,数据终端就绪,用于DTE向对方告知本机是否已准备好

5

信号地

GND

-

地线,两个通讯设备之间的地电位可能不一样,这会影响收发双方的电平信号,所以两个串口设备之间必须要使用地线连接,即共地。

6

数据设备(DCE)就绪

DSR

DTEßDCE

Data Set Ready,数据发送就绪,用于DCE告知对方本机是否处于待命状态

7

请求发送

RTS

DTEàDCE

Request To Send,请求发送, DTE 请求 DCE 本设备向DCE端发送数据

8

允许发送

CTS

DTEßDCE

Clear To Send,允许发送,DCE回应对方的RTS发送请求,告知对方是否可以发送数据

9

响铃指示

RI

DTEßDCE

Ring Indicator,响铃指示,表示DCE端与线路已接通

上表中的是计算机端的DB9公头标准接法,由于两个通讯设备之间的收发信号(RXDTXD)应交叉相连,所以调制调解器端的DB9母头的收发信号接法一般与公头的相反,两个设备之间连接时,只要使用“直通型”的串口线连接起来即可,见 20-5。

 

20-5 计算机与调制调解器的信号线连接

串口线中的RTSCTSDSRDTRDCD信号,使用逻辑 1表示信号有效,逻辑0表示信号无效。例如,当计算机端控制DTR信号线表示为逻辑1时,它是为了告知远端的调制调解器,本机已准备好接收数据,0则表示还没准备就绪。

在目前的其它工业控制使用的串口通讯中,一般只使用RXDTXD以及GND三条信号线,直接传输数据信号。而RTSCTSDSRDTRDCD信号都被裁剪掉了,如果您在前面被这些信号弄得晕头转向,那就直接忽略它们吧。

20.1.2  协议层

串口通讯的数据包由发送设备通过自身的TXD接口传输到接收设备的RXD接口。在串口通讯的协议层中,规定了数据包的内容,它由启始位、主体数据、校验位以及停止位组成,通讯双方的数据包格式要约定一致才能正常收发数据,其组成见 20-6。

 

20-6 串口数据包的基本组成

1. 波特率

本章中主要讲解的是串口异步通讯,异步通讯中由于没有时钟信号(如前面讲解的DB9接口中是没有时钟信号的),所以两个通讯设备之间需要约定好波特率,即每个码元的长度,以便对信号进行解码, 206中用虚线分开的每一格就是代表一个码元。常见的波特率为48009600115200等。

2. 通讯的起始和停止信号

串口通讯的一个数据包从起始信号开始,直到停止信号结束。数据包的起始信号由一个逻辑0的数据位表示,而数据包的停止信号可由0.511.52个逻辑1的数据位表示,只要双方约定一致即可。

3. 有效数据

在数据包的起始位之后紧接着的就是要传输的主体数据内容,也称为有效数据,有效数据的长度常被约定为5678位长。

4. 数据校验

在有效数据之后,有一个可选的数据校验位。由于数据通信相对更容易受到外部干扰导致传输数据出现偏差,可以在传输过程加上校验位来解决这个问题。校验方法有奇校验(odd)、偶校验(even)0校验(space)1校验(mark)以及无校验(noparity),它们介绍如下:

奇校验要求有效数据和校验位中1”的个数为奇数,比如一个8位长的有效数据为:01101001,此时总共有4个“1”,为达到奇校验效果,校验位为“1”,最后传输的数据将是8位的有效数据加上1位的校验位总共9位。

偶校验与奇校验要求刚好相反,要求帧数据和校验位中1”的个数为偶数,比如数据帧:11001010,此时数据帧“1”的个数为4个,所以偶校验位为“0”。

q 0校验是不管有效数据中的内容是什么,校验位总为“0”,1校验是校验位总为“1”。

q 在无校验的情况下,数据包中不包含校验位。

20.2  STM32USART简介

STM32芯片具有多个USART外设用于串口通讯,它是 Universal Synchronous Asynchronous Receiver and Transmitter的缩写,即通用同步异步收发器可以灵活地与外部设备进行全双工数据交换。有别于USART,它还有具有UART外设(Universal Asynchronous Receiver and Transmitter),它是在USART基础上裁剪掉了同步通信功能,只有异步通信。简单区分同步和异步就是看通信时需不需要对外提供时钟输出,我们平时用的串口通信基本都是UART

USART满足外部设备对工业标准NRZ异步串行数据格式的要求,并且使用了小数波特率发生器,可以提供多种波特率,使得它的应用更加广泛。USART支持同步单向通信和半双工单线通信;还支持局域互连网络LIN、智能卡(SmartCard)协议与lrDA(红外线数据协会) SIR ENDEC规范。

USART支持使用DMA,可实现高速数据通信,有关DMA具体应用将在DMA章节作具体讲解。

USARTSTM32应用最多莫过于“打印”程序信息,一般在硬件设计时都会预留一个USART通信接口连接电脑,用于在调试程序是可以把一些调试信息“打印”在电脑端的串口调试助手工具上,从而了解程序运行是否正确、指出运行出错位置等等。

STM32USART输出的是TTL电平信号,若需要RS-232标准的信号可使用MAX3232芯片进行转换。

20.3  USART功能框图

STM32USART功能框图包含了USART最核心内容,掌握了功能框图,对USART就有一个整体的把握,在编程时就思路就非常清晰,见 20-7。

 

20-7 USART功能框图

1. ①功能引脚

TX:发送数据输出引脚。

RX:接收数据输入引脚。

nRTS:请求以发送(Request To Send)n表示低电平有效。如果使能RTS流控制,当USART接收器准备好接收新数据时就会将nRTS变成低电平;当接收寄存器已满时,nRTS将被设置为高电平。该引脚只适用于硬件流控制。

nDE:“驱动器使能”用于激活外部收发器的发送模式,在 RS485 硬件控制模式下需要这个引脚,DE nRTS 共用同一个引脚。

nCTS:清除以发送(Clear To Send)n表示低电平有效。如果使能CTS流控制,发送器在发送下一帧数据之前会检测nCTS引脚,如果为低电平,表示可以发送数据,如果为高电平则在发送完当前数据帧之后停止发送。该引脚只适用于硬件流控制。

SCLK:发送器时钟输出引脚。这个引脚仅适用于同步模式。

USART引脚在STM32F767IGT6芯片具体分布见 20-3。

20-3  STM32F767IGT6芯片的USART引脚

时钟 

PCLK2/SYCLK/HSI/LSE

PCLK1/SYCLK/HSI/LSE

USART1

USART6

USART2

USART3

UART4

UART5

UART7

UART8

TX

PA9/PB6/PB14

PC6/PG14

PA2/PD5

PB10/PD8
/PC10

PA0/PC10/PD1/PH13

PC12/PB9/PB13

PF7/PE8

PE1

RX

PA10/PB7/PB15

PC7/PG9

PA3/PD6

PB11/PD9
/PC11

PA1/PC11/PD0/PH14/PI9

PD2/PB8/PB12

PF6/PE7

PE0

SCLK

PA8

PG7/PC8

PA4/PD7

PB12/PD10
/PC12

 

 

 

 

nCTS

PA11

PG13/PG15

PA0/PD3

PB13/PD11

 

 

 

 

nRTS

PA12

PG8/PG12

PA1/PD4

PB14/PD12

 

 

 

 

STM32F76xxx系统控制器有四个USART和四个UART,其中USART1USART6的时钟来源于PCLK2/SYCLK/HSI/LSE时钟,其最大频率为216MHz,其他六个的时钟来源于PCLK1/SYCLK/HSI/LSE时钟,其最大频率为216MHz

UART只是异步传输功能,所以没有SCLKnCTSnRTS功能引脚。

观察 20-3可发现很多USART的功能引脚有多个引脚可选,这非常方便硬件设计,只要在程序编程时软件绑定引脚即可。

2. ②数据寄存器

USART数据寄存器(USART_DR)只有低9位有效,并且第9位数据是否有效要取决于USART控制寄存器1(USART_CR1)M位设置,当M位为0时表示8位数据字长,当M位为1表示9位数据字长,我们一般使用8位数据字长。

USART_DR包含了已发送的数据或者接收到的数据。USART_DR实际是包含了两个寄存器,一个专门用于发送的可写TDR,一个专门用于接收的可读RDR。当进行发送操作时,往USART_DR写入数据会自动存储在TDR内;当进行读取操作时,向USART_DR读取数据会自动提取RDR数据。

TDRRDR都是介于系统总线和移位寄存器之间。串行通信是一个位一个位传输的,发送时把TDR内容转移到发送移位寄存器,然后把移位寄存器数据每一位发送出去,接收时把接收到的每一位顺序保存在接收移位寄存器内然后才转移到RDR

USART支持DMA传输,可以实现高速数据传输,具体DMA使用将在DMA章节讲解。

3. ③控制器

USART有专门控制发送的发送器、控制接收的接收器,还有唤醒单元、中断控制等等。使用USART之前需要向USART_CR1寄存器的UE位置1使能USART。发送或者接收数据字长可选8位或9位,由USART_CR1M位控制。

发送器

USART_CR1寄存器的发送使能位TE1时,启动数据发送,发送移位寄存器的数据会在TX引脚输出,如果是同步模式SCLK也输出时钟信号。

一个字符帧发送需要三个部分:起始位+数据帧+停止位。起始位是一个位周期的低电平,位周期就是每一位占用的时间;数据帧就是我们要发送的8位或9位数据,数据是从最低位开始传输的;停止位是一定时间周期的高电平。

停止位时间长短是可以通过USART控制寄存器2(USART_CR2)STOP[1:0]位控制,可选0.5个、1个、1.5个和2个停止位。默认使用1个停止位。2个停止位适用于正常USART模式、单线模式和调制解调器模式。0.5个和1.5个停止位用于智能卡模式。

当选择8位字长,使用1个停止位时,具体发送字符时序图见 20-8。

 

20-8 字符发送时序图

当发送使能位TE1之后,发送器开始会先发送一个空闲帧(一个数据帧长度的高电平),接下来就可以往USART_DR寄存器写入要发送的数据。在写入最后一个数据后,需要等待USART状态寄存器(USART_SR)TC位为1,表示数据传输完成,如果USART_CR1寄存器的TCIE位置1,将产生中断。

在发送数据时,编程的时候有几个比较重要的标志位我们来总结下。

名称

描述

TE

发送使能

TXE

发送寄存器为空,发送单个字节的时候使用

TC

发送完成,发送多个字节数据的时候使用

TXIE

发送完成中断使能

 

接收器

如果将USART_CR1寄存器的RE位置1,使能USART接收,使得接收器在RX线开始搜索起始位。在确定到起始位后就根据RX线电平状态把数据存放在接收移位寄存器内。接收完成后就把接收移位寄存器数据移到RDR内,并把USART_SR寄存器的RXNE位置1,同时如果USART_CR2寄存器的RXNEIE1的话可以产生中断。

在接收数据时,编程的时候有几个比较重要的标志位我们来总结下。

名称

描述

RE

接收使能

RXNE

读数据寄存器非空

RXNEIE

发送完成中断使能

 为得到一个信号真实情况,需要用一个比这个信号频率高的采样信号去检测,称为过采样,这个采样信号的频率大小决定最后得到源信号准确度,一般频率越高得到的准确度越高,但为了得到越高频率采样信号越也困难,运算和功耗等等也会增加,所以一般选择合适就好。

接收器可配置为不同过采样技术,以实现从噪声中提取有效的数据。USART_CR1寄存器的OVER8位用来选择不同的采样采样方法,如果OVER8位设置为1采用8倍过采样,即用8个采样信号采样一位数据;如果OVER8位设置为0采用16倍过采样,即用16个采样信号采样一位数据。

USART的起始位检测需要用到特定序列。如果在RX线识别到该特定序列就认为是检测到了起始位。起始位检测对使用16倍或8倍过采样的序列都是一样的。该特定序列为:1110X0X0X0000,其中X表示电平任意,10皆可。

8倍过采样速度更快,最高速度可达fCK/8fCKUSART时钟源,最大值为216MHz,采样过程见 20-9。使用第456次脉冲的值决定该位的电平状态。

 

20-9 8倍过采样过程

16倍过采样速度虽然没有8倍过采样那么快,但得到的数据更加精准,其最大速度为fCK/16,采样过程见 20-10。使用第8910次脉冲的值决定该位的电平状态。

 

20-10 16倍过采样过程

4. ④波特率生成

波特率指数据信号对载波的调制速率,它用单位时间内载波调制状态改变次数来表示,单位为波特。比特率指单位时间内传输的比特数,单位bit/s(bps)。对于USART波特率与比特率相等,以后不区分这两个概念。波特率越大,传输速率越快。

USART的发送器和接收器使用相同的波特率。计算公式如下:

 

公式 20-1 波特率计算

其中,fCKUSART时钟,参考 203OVER8USART_CR1寄存器的OVER8位对应的值,USARTDIV是一个存放在波特率寄存器(USART_BRR)的一个无符号定点数。16 倍或 8 倍过采样时, USARTDIV 必须大于或等于 16d

OVER8 = 0 时, BRR = USARTDIV OVER8 = 1 时BRR[2:0] = USARTDIV[3:0],右移 1 位,BRR[3] 必须保持清零,BRR[15:4] = USARTDIV[15:4]。

例如,要通过 fCK =216 MHz 获得 9600 波特率。

如果OVER8=1情况类似,只是把计算用到的权值由16改为8

波特率的常用值有2400960019200115200。下面以实例讲解如何设定寄存器值得到波特率的值。

203可知USART1USART6使用PCLK2/SYCLK/HSI/LSE时钟,最高可达216MHz,其他USARTPCLK1/SYCLK/HSI/LSE时钟。我们选取SYCLK 时钟作为USART1的时钟源实例讲解,即fCK=216MHzCPU 时钟越低,特定波特率的精度就越低。可达到的波特率的上限可使用这些数据进行确定。

当我们使用16倍过采样时即OVER8=0,为得到115200bps的波特率,此时:

解得USARTDIV=1875,可算得BRR = USARTDIV = 1875d = 0753h,即应该设置USART_BRR的值为0x753

当我们使用16倍过采样时即OVER8=0,为得到256000bps的波特率,此时:

 解得USARTDIV=843.75,可算得BRR = USARTDIV ≈843d = 034bh,即应该设置USART_BRR的值为0x34b

在计算DIV_Fraction时经常出现小数情况,经过我们取舍得到整数,这样会导致最终输出的波特率较目标值略有偏差。下面我们从USART_BRR的值为0x34b开始计算得出实际输出的波特率大小。

USART_BRR的值为0x34b,所以实际波特率为:216000000/843256228;这个值跟我们的目标波特率误差为0.0009%,这么小的误差在正常通信的允许范围内。

8倍过采样时计算情况原理是一样的。

5. 校验控制

STM32F7xx系列控制器USART支持奇偶校验。当使用校验位时,串口传输的长度将是8位的数据帧加上1位的校验位总共9位,此时USART_CR1寄存器的M位需要设置为1,即9数据位。将USART_CR1寄存器的PCE位置1就可以启动奇偶校验控制,奇偶校验由硬件自动完成。启动了奇偶校验控制之后,在发送数据帧时会自动添加校验位,接收数据时自动验证校验位。接收数据时如果出现奇偶校验位验证失败,会见USART_SR寄存器的PE位置1,并可以产生奇偶校验中断。

使能了奇偶校验控制后,每个字符帧的格式将变成:起始位+数据帧+校验位+停止位。

6. 中断控制

USART有多个中断请求事件,具体见 20-4。

20-4 USART中断请求

中断事件

事件标志

使能控制位

发送数据寄存器为空

TXE

TXEIE

CTS标志

CTS

CTSIE

发送完成

TC

TCIE

准备好读取接收到的数据

RXNE

RXNEIE

检测到上溢错误

ORE

检测到空闲线路

IDLE

IDLEIE

奇偶校验错误

PE

PEIE

断路标志

LBD

LBDIE

多缓冲通信中的噪声标志、
上溢错误和帧错误

NF/ORE/FE

EIE

20.4  USART初始化结构体详解

标准库函数对每个外设都建立了一个初始化结构体,比如USART_InitTypeDef,结构体成员用于设置外设工作参数,并由外设初始化配置函数,比如USART_Init()调用,这些设定参数将会设置外设相应的寄存器,达到配置外设工作环境的目的。

初始化结构体和初始化库函数配合使用是标准库精髓所在,理解了初始化结构体每个成员意义基本上就可以对该外设运用自如了。初始化结构体定义在stm32f7xx_hal_usart.h文件中,初始化库函数定义在stm32f7xx_hal_usart.c文件中,编程时我们可以结合这两个文件内注释使用。

USART初始化结构体

1 typedef struct {

 2     uint32_t USART_BaudRate;            // 波特率

 3     uint32_t USART_WordLength;          // 字长

 4     uint32_t USART_StopBits;            // 停止位

 5     uint32_t USART_Parity;              // 校验位

 6     uint32_t USART_Mode;                // USART模式

7     uint32_t OverSampling; // 过采样设置,8倍或者16

8     uint32_t CLKPolarity; // 时钟极性

9     uint32_t CLKPhase; // 时钟相位

10    uint32_t CLKLastBit; // 最尾位时钟脉冲

 11} USART_InitTypeDef;

1) USART_BaudRate:波特率设置。一般设置为2400960019200115200。标准库函数会根据设定值计算得到USARTDIV值,见公式 201,并设置USART_BRR寄存器值。

2) USART_WordLength:数据帧字长,可选8位或9位。它设定USART_CR1寄存器的M位的值。如果没有使能奇偶校验控制,一般使用8数据位;如果使能了奇偶校验则一般设置为9数据位。

3) USART_StopBits:停止位设置,可选0.5个、1个、1.5个和2个停止位,它设定USART_CR2寄存器的STOP[1:0]位的值,一般我们选择1个停止位。

4) USART_Parity:奇偶校验控制选择,可选USART_Parity_No(无校验)USART_Parity_Even(偶校验)以及USART_Parity_Odd(奇校验),它设定USART_CR1寄存器的PCE位和PS位的值。

5) USART_ModeUSART模式选择,有USART_Mode_Rx和USART_Mode_Tx,允许使用逻辑或运算选择两个,它设定USART_CR1寄存器的RE位和TE位。

6) OverSampling :过采样选择,选择8倍过采样或者16过采样。

7) USART_CPOL:同步模式下SCLK引脚上输出时钟极性设置,可设置在空闲时SCLK引脚为低电平(USART_CPOL_Low)或高电平(USART_CPOL_High)。它设定USART_CR2寄存器的CPOL位的值。

8) USART_CPHA:同步模式下SCLK引脚上输出时钟相位设置,可设置在时钟第一个变化沿捕获数据(USART_CPHA_1Edge)或在时钟第二个变化沿捕获数据。它设定USART_CR2寄存器的CPHA位的值。USART_CPHA与USART_CPOL配合使用可以获得多种模式时钟关系。

9) USART_LastBit:选择在发送最后一个数据位的时候时钟脉冲是否在SCLK引脚输出,可以是不输出脉冲(USART_LastBit_Disable)、输出脉冲(USART_LastBit_Enable)。它设定USART_CR2寄存器的LBCL位的值。

20.5  USART1接发通信实验

USART只需两根信号线即可完成双向通信,对硬件要求低,使得很多模块都预留USART接口来实现与其他模块或者控制器进行数据传输,比如GSM模块,WIFI模块、蓝牙模块等等。在硬件设计时,注意还需要一根“共地线”。

我们经常使用USART来实现控制器与电脑之间的数据传输。这使得我们调试程序非常方便,比如我们可以把一些变量的值、函数的返回值、寄存器标志位等等通过USART发送到串口调试助手,这样我们可以非常清楚程序的运行状态,当我们正式发布程序时再把这些调试信息去除即可。

我们不仅仅可以将数据发送到串口调试助手,我们还可以在串口调试助手发送数据给控制器,控制器程序根据接收到的数据进行下一步工作。

首先,我们来编写一个程序实现开发板与电脑通信,在开发板上电时通过USART发送一串字符串给电脑,然后开发板进入中断接收等待状态,如果电脑有发送数据过来,开发板就会产生中断,我们在中断服务函数接收数据,并马上把数据返回发送给电脑。

20.5.1  硬件设计

为利用USART实现开发板与电脑通信,需要用到一个USBUSARTIC,我们选择CH340G芯片来实现这个功能,CH340G是一个USB总线的转接芯片,实现USBUSARTUSBIrDA红外或者USB转打印机接口,我们使用其USBUSART功能。具体电路设计见 20-11。

我们将CH340GTXD引脚与USART1RX引脚连接,CH340GRXD引脚与USART1TX引脚连接。CH340G芯片集成在开发板上,其地线(GND)已与控制器的GND连通。

 

20-11 USB转串口硬件设计

20.5.2  软件设计

这里只讲解核心的部分代码,有些变量的设置,头文件的包含等并没有涉及到,完整的代码请参考本章配套的工程。我们创建了两个文件:bsp_debug_usart.cbsp_debug_usart.h文件用来存放USART驱动程序及相关宏定义。

1. 编程要点

1) 使能RXTX引脚GPIO时钟和USART时钟;

2) 初始化GPIO,并将GPIO复用到USART上;

3) 配置USART参数;

4) 配置中断控制器并使能USART接收中断;

5) 使能USART

6) USART接收中断服务函数实现数据接收和发送。

2. 代码分析
GPIOUSART宏定义

代码清单 201 GPIOUSART宏定义

1 //串口波特率

 2 #define DEBUG_USART_BAUDRATE                    115200

 3

 4 //引脚定义

 5 /*******************************************************/

 6 #define DEBUG_USART                             USART1

 7 #define DEBUG_USART_CLK_ENABLE()                __USART1_CLK_ENABLE();

 8

 9 #define RCC_PERIPHCLK_UARTx                     RCC_PERIPHCLK_USART1

10 #define RCC_UARTxCLKSOURCE_SYSCLK               

11 RCC_USART1CLKSOURCE_SYSCLK

12

13 #define DEBUG_USART_RX_GPIO_PORT                GPIOA

14 #define DEBUG_USART_RX_GPIO_CLK_ENABLE()        __GPIOA_CLK_ENABLE()

15 #define DEBUG_USART_RX_PIN                      GPIO_PIN_10

16 #define DEBUG_USART_RX_AF                       GPIO_AF7_USART1

17

18

19 #define DEBUG_USART_TX_GPIO_PORT                GPIOA

20 #define DEBUG_USART_TX_GPIO_CLK_ENABLE()        __GPIOA_CLK_ENABLE()

21 #define DEBUG_USART_TX_PIN                      GPIO_PIN_9

22 #define DEBUG_USART_TX_AF                       GPIO_AF7_USART1

23

24 #define DEBUG_USART_IRQHandler                  USART1_IRQHandler

25 #define DEBUG_USART_IRQ                         USART1_IRQn

26 /************************************************************/ 

使用宏定义方便程序移植和升级,根据 2011电路,我们选择使用USART1,设定波特率为115200,一般我们会默认使用“8-N-1”参数,即8个数据位、不用校验、一位停止位。查阅 203可知USART1TX线可对于PA9和PB6引脚,RX线可对于PA10PB7引脚,这里我们选择PA9以及PA10引脚。最后定义中断相关参数。

USART初始化配置

代码清单 202 USART初始化配置

1 void DEBUG_USART_Config(void)

 2 {

 3     GPIO_InitTypeDef GPIO_InitStruct;

 4     RCC_PeriphCLKInitTypeDef RCC_PeriphClkInit;

 5

 6

 7     /* 配置串口1时钟源*/

 8     RCC_PeriphClkInit.PeriphClockSelection = RCC_PERIPHCLK_UARTx;

 9     RCC_PeriphClkInit.Usart1ClockSelection = RCC_UARTxCLKSOURCE_SYSCLK;

10     HAL_RCCEx_PeriphCLKConfig(&RCC_PeriphClkInit);

11     /* 使能 USART 时钟 */

12     DEBUG_USART_CLK_ENABLE();

13

14     DEBUG_USART_RX_GPIO_CLK_ENABLE();

15     DEBUG_USART_TX_GPIO_CLK_ENABLE();

16

17     /**USART1 GPIO Configuration

18     PA9     ------> USART1_TX

19     PA10    ------> USART1_RX

20     */

21     /* 配置Tx引脚为复用功能  */

22     GPIO_InitStruct.Pin = DEBUG_USART_TX_PIN;

23     GPIO_InitStruct.Mode = GPIO_MODE_AF_PP;

24     GPIO_InitStruct.Pull = GPIO_PULLUP;

25     GPIO_InitStruct.Speed = GPIO_SPEED_FREQ_VERY_HIGH;

26     GPIO_InitStruct.Alternate = DEBUG_USART_TX_AF;

27     HAL_GPIO_Init(DEBUG_USART_TX_GPIO_PORT, &GPIO_InitStruct);

28

29     /* 配置Rx引脚为复用功能 */

30     GPIO_InitStruct.Pin = DEBUG_USART_RX_PIN;

31     GPIO_InitStruct.Alternate = DEBUG_USART_RX_AF;

32     HAL_GPIO_Init(DEBUG_USART_RX_GPIO_PORT, &GPIO_InitStruct);

33

34     /* 配置串DEBUG_USART 模式 */

35     UartHandle.Instance = DEBUG_USART;

36     UartHandle.Init.BaudRate = 115200;

37     UartHandle.Init.WordLength = UART_WORDLENGTH_8B;

38     UartHandle.Init.StopBits = UART_STOPBITS_1;

39     UartHandle.Init.Parity = UART_PARITY_NONE;

40     UartHandle.Init.Mode = UART_MODE_TX_RX;

41     UartHandle.Init.HwFlowCtl = UART_HWCONTROL_NONE;

42     UartHandle.Init.OverSampling = UART_OVERSAMPLING_16;

43     UartHandle.Init.OneBitSampling = UART_ONEBIT_SAMPLING_DISABLED;

44     UartHandle.AdvancedInit.AdvFeatureInit = UART_ADVFEATURE_NO_INIT;

45     HAL_UART_Init(&UartHandle);

46

47     /*串口1中断初始化 */

48     HAL_NVIC_SetPriority(DEBUG_USART_IRQ, 0, 0);

49     HAL_NVIC_EnableIRQ(DEBUG_USART_IRQ);

50     /*配置串口接收中断 */

51     __HAL_UART_ENABLE_IT(&UartHandle,UART_IT_RXNE);

52 }

使用GPIO_InitTypeDef和USART_InitTypeDef结构体定义一个GPIO初始化变量以及一个USART初始化变量,这两个结构体内容我们之前已经有详细讲解。

调用RCC_AHB1PeriphClockCmd函数开启GPIO端口时钟,使用GPIO之前必须开启对应端口的时钟。使用RCC_APB2PeriphClockCmd函数开启USART时钟。

使用GPIO之前都需要初始化配置它,并且还要添加特殊设置,因为我们使用它作为外设的引脚,一般都有特殊功能。我们在初始化时需要把它的模式设置为复用功能。

每个GPIO都可以作为多个外设的特殊功能引脚,比如PA10这个引脚不仅仅可以作为普通的输入输出引脚,还可以作为USART1RX线引脚(USART1_RX)、定时器1通道3引脚(TIM1_CH3)、全速OTGID引脚(OTG_FS_ID)以及DCMI的数据1引脚(DCMI_D1)这四个外设的功能引脚,我们只能从中选择一个使用,这时就通过GPIO引脚复用功能配置(Alternate)结构体实现复用功能引脚的连接。

这时我们可能会想如果程序把PA10用于TIM1_CH3,此时USART1_RX就没办法使用了,那岂不是不能使用USART1了,实际上情况没有这么糟糕的,查阅 203我们可以看到USART1_RX不仅仅只有PA10,还可以是PB7。所以此时我们可以PB7这个引脚来实现USART1通信。那要是PB7也是被其他外设占用了呢?那就没办法了,只能使用其他USART

接下来,我们配置USART1通信参数并调用USART初始化函数完成配置。

程序用到USART接收中断,初始化串口1的中断,这里调用HAL_NVIC_SetPriority函数完成中断的优先级配置。调用__HAL_UART_ENABLE_IT函数使能USART接收中断。

字符发送

代码清单 203 字符发送函数

1 /*****************  发送字符串 **********************/

2 void Usart_SendString(uint8_t *str)

3 {

4     unsigned int k=0;

5     do {

6         HAL_UART_Transmit( &UartHandle,(uint8_t *)(str + k) ,1,1000);

7         k++;

8     } while (*(str + k)!='');

9 }

Usart_SendString函数用来发送一个字符串,它实际是调用HAL_UART_Transmit函数(这是一个阻塞的发送函数,无需重复判断串口是否发送完成)发送每个字符,直到遇到空字符才停止发送。最后使用循环检测发送完成的事件标志来实现保证数据发送完成后才退出函数。

USART中断服务函数

代码清单 204 USART中断服务函数

1 void DEBUG_USART_IRQHandler(void)

 2 {

 3     if (__HAL_UART_GET_IT( &UartHandle, UART_IT_RXNE ) != RESET) {

 4         Rxflag=1;

 5         HAL_UART_Receive(&UartHandle, (uint8_t *)&ucTemp, 1, 1000);

 6     }

 7

 8     HAL_UART_IRQHandler(&UartHandle);

9 }

这段代码是存放在stm32f7xx_it.c文件中的,该文件用来集中存放外设中断服务函数。当我们使能了中断并且中断发生时就会执行中断服务函数。

我们在代码清单 202使能了USART接收中断,当USART有接收到数据就会执行DEBUG_USART_IRQHandler函数。__HAL_UART_GET_IT函数与__HAL_UART_GET_FLAG函数类似用来获取标志位状态,但__HAL_UART_GET_IT函数是专门用来获取中断事件标志的,并返回该标志位状态。使用if语句来判断是否是真的产生USART数据接收这个中断事件,如果是真的就使用USART数据读取函数HAL_UART_Receive读取数据到指定存储区。然后再调用USART数据发送函数HAL_UART_Transmit把数据又发送给源设备。

主函数

代码清单 20-5 主函数

1 int main(void)

 2 {

 3     uint8_t ucaRxBuf[256];

 4     uint16_t usRxCount=0;

 5

 6     /* 配置系统时钟为216 MHz */

 7     SystemClock_Config();

 8

 9     /*初始化USART 配置模式为 115200 8-N-1,中断接收*/

10     DEBUG_USART_Config();

11

12     /*调用printf函数,因为重定向了fputcprintf的内容会输出到串口*/

13     printf(" Printf方式输出:这是一个串口中断接收回显实验  ");

14

15

16     /*自定义函数方式*/

17     Usart_SendString((uint8_t *)"自定义函数输出:这是一个串口中断接收回显实验 " );

18     Usart_SendString((uint8_t *)"输入数据并以回车键结束 " );

19

20     /*STM32串口接收到字符后会进入stm32f4xx_it.c文件的中断服务函数,

21     *接收该数据,并标记Rxflag标志位。*/

22

23     while (1) {

24         /*

25           接收DEBUG_USART口的数据,分析并处理

26           可以将此段代码封装为一个函数,在主程序其它流程调用

27         */

28         if (Rxflag) {

29             if (usRxCount < sizeof(ucaRxBuf)) {

30                 ucaRxBuf[usRxCount++] = ucTemp;

31             } else {

32                 usRxCount = 0;

33             }

34

35             /*

36             简单的通信协议,遇到回车换行符认为1个命令帧,可自行加其它判断实现自定义命

37              */

38             /* 遇到换行字符,认为接收到一个命令 */

39             if (ucTemp == 0x0A) { /* 换行字符 */

40                 /*检测到有回车字符就把数据返回给上位机*/

41                 HAL_UART_Transmit( &UartHandle, (uint8_t *)ucaRxBuf,usRxCount,1000 );

42                 usRxCount = 0;

43             }

44             Rxflag=0;

45         }

46     }

47 }

首先我们需要调用SystemClock_Config函数配置系统时钟,调用Debug_USART_Config函数完成USART初始化配置,包括GPIO配置,USART配置,接收中断使用等等信息。

使用两种方式进行发送字符串,可以对比串口调试助手接收实际效果是一样的。

最后大循环判断接收到数据的标志位,等待USART接收中断的标志位置位,并将接收到的数据回传到串口调试助手。

20.5.3  下载验证

保证开发板相关硬件连接正确,用USB线连接开发板“USB TO UART”接口跟电脑,在电脑端打开串口调试助手,把编译好的程序下载到开发板,此时串口调试助手即可收到开发板发过来的数据。我们在串口调试助手发送区域输入任意字符,点击发送按钮,马上在串口调试助手接收区即可看到相同的字符。

 

20-12 实验现象

20.6  USART1指令控制RGB彩灯实验

在学习C语言时我们经常使用C语言标准函数库输入输出函数,比如printfscanfgetchar等等。为让开发板也支持这些函数需要把USART发送和接收函数添加到这些函数的内部函数内。

正如之前所讲,可以在串口调试助手输入指令,让开发板根据这些指令执行一些任务,现在我们编写让程序接收USART数据,根据数据内容控制RGB彩灯的颜色。

20.6.1  硬件设计

硬件设计同第一个实验。

20.6.2  软件设计

这里只讲解核心的部分代码,有些变量的设置,头文件的包含等并没有涉及到,完整的代码请参考本章配套的工程。我们创建了两个文件:bsp _usart.cbsp _usart.h文件用来存放USART驱动程序及相关宏定义。

1. 编程要点

1) 初始化配置RGB彩色灯GPIO

2) 使能RXTX引脚GPIO时钟和USART时钟;

3) 配置USART时钟源;

4) 初始化GPIO,并将GPIO复用到USART上;

5) 配置USART参数;

6) 使能USART

7) 获取指令输入,根据指令控制RGB彩色灯。

2. 代码分析
GPIOUSART宏定义

代码清单 206 GPIOUSART宏定义

1 //串口波特率

 2 #define DEBUG_USART_BAUDRATE                    115200

 3

 4 //引脚定义

 5 /*******************************************************/

 6 #define DEBUG_USART                             USART1

 7 #define DEBUG_USART_CLK_ENABLE()                __USART1_CLK_ENABLE();

 8

 9 #define RCC_PERIPHCLK_UARTx                     RCC_PERIPHCLK_USART1

10 #define RCC_UARTxCLKSOURCE_SYSCLK               

11 RCC_USART1CLKSOURCE_SYSCLK

12

13 #define DEBUG_USART_RX_GPIO_PORT                GPIOA

14 #define DEBUG_USART_RX_GPIO_CLK_ENABLE()        __GPIOA_CLK_ENABLE()

15 #define DEBUG_USART_RX_PIN                      GPIO_PIN_10

16 #define DEBUG_USART_RX_AF                       GPIO_AF7_USART1

17

18

19 #define DEBUG_USART_TX_GPIO_PORT                GPIOA

20 #define DEBUG_USART_TX_GPIO_CLK_ENABLE()        __GPIOA_CLK_ENABLE()

21 #define DEBUG_USART_TX_PIN                      GPIO_PIN_9

22 #define DEBUG_USART_TX_AF                       GPIO_AF7_USART1

23

24 #define DEBUG_USART_IRQHandler                  USART1_IRQHandler

25 #define DEBUG_USART_IRQ                         USART1_IRQn

26 /************************************************************/ 

使用宏定义方便程序移植和升级,这里我们可以USART1,设定波特率为115200

USART初始化配置

代码清单 207 USART初始化配置

1 void UARTx_Config(void)

 2 {

 3     GPIO_InitTypeDef GPIO_InitStruct;

 4

 5     RCC_PeriphCLKInitTypeDef RCC_PeriphClkInit;

 6

 7     UARTx_RX_GPIO_CLK_ENABLE();

 8     UARTx_TX_GPIO_CLK_ENABLE();

 9

10     /* 配置串口1时钟源*/

11     RCC_PeriphClkInit.PeriphClockSelection = RCC_PERIPHCLK_UARTx;

12     RCC_PeriphClkInit.Usart1ClockSelection = RCC_UARTxCLKSOURCE_SYSCLK;

13     HAL_RCCEx_PeriphCLKConfig(&RCC_PeriphClkInit);

14     /* 使能 UART 时钟 */

15     UARTx_CLK_ENABLE();

16

17     /**USART1 GPIO Configuration

18     PA9     ------> USART1_TX

19     PA10    ------> USART1_RX

20     */

21     /* 配置Tx引脚为复用功能  */

22     GPIO_InitStruct.Pin = UARTx_TX_PIN;

23     GPIO_InitStruct.Mode = GPIO_MODE_AF_PP;

24     GPIO_InitStruct.Pull = GPIO_PULLUP;

25     GPIO_InitStruct.Speed = GPIO_SPEED_HIGH;

26     GPIO_InitStruct.Alternate = UARTx_TX_AF;

27     HAL_GPIO_Init(UARTx_TX_GPIO_PORT, &GPIO_InitStruct);

28

29     /* 配置Rx引脚为复用功能 */

30     GPIO_InitStruct.Pin = UARTx_RX_PIN;

31     GPIO_InitStruct.Alternate = UARTx_RX_AF;

32     HAL_GPIO_Init(UARTx_RX_GPIO_PORT, &GPIO_InitStruct);

33

34     /* 配置串USARTx 模式 */

35     UartHandle.Instance = UARTx;

36     UartHandle.Init.BaudRate = UARTx_BAUDRATE;

37     UartHandle.Init.WordLength = UART_WORDLENGTH_8B;

38     UartHandle.Init.StopBits = UART_STOPBITS_1;

39     UartHandle.Init.Parity = UART_PARITY_NONE;

40     UartHandle.Init.Mode = UART_MODE_TX_RX;

41     HAL_UART_Init(&UartHandle);

42

43 }

使用GPIO_InitTypeDef和USART_InitTypeDef结构体定义一个GPIO初始化变量以及一个USART初始化变量,这两个结构体内容我们之前已经有详细讲解。

调用UARTx_RX_GPIO_CLK_ENABLE和UARTx_TX_GPIO_CLK_ENABLE函数开启GPIO端口时钟,使用GPIO之前必须开启对应端口的时钟。

使用RCC_PeriphCLKInitTypeDef结构体定义一个串口时钟源。

初始化配置RX线和TX线引脚为复用功能,并将指定的GPIO连接至USART1,然后配置串口的工作参数为115200-8-N-1。最后调用HAL_UART_Init函数初始化USART

重定向prinftscanf函数

代码清单 20-8 重定向输入输出函数

1 //重定向c库函数printf到串口USARTx,重定向后可使用printf函数

 2 int fputc(int ch, FILE *f)

 3 {

 4     /* 发送一个字节数据到串口USARTx */

 5     HAL_UART_Transmit(&UartHandle, (uint8_t *)&ch, 1, 0xFFFF);

 6     return (ch);

 7 }

 8

 9 //重定向c库函数scanf到串口USARTx,重写向后可使用scanfgetchar等函数

10 int fgetc(FILE *f)

11 {

12     int ch;

13     /* 等待串口输入数据 */

14     while (__HAL_UART_GET_FLAG(&UartHandle, UART_FLAG_RXNE) == RESET);

15     HAL_UART_Receive(&UartHandle, (uint8_t *)&ch, 1, 0xFFFF);

16     return (ch);

17 }

C语言标准库中,fputc函数是printf函数内部的一个函数,功能是将字符ch写入到文件指针f所指向文件的当前写指针位置,简单理解就是把字符写入到特定文件中。我们使用USART函数重新修改fputc函数内容,达到类似“写入”的功能。

fgetc函数与fputc函数非常相似,实现字符读取功能。在使用scanf函数时需要注意字符输入格式。

还有一点需要注意的,使用fputfgetc函数达到重定向C语言标准库输入输出函数必须在MDK的工程选项把“Use MicroLIB”勾选上,MicoroLIB是缺省C库的备选库,它对标准C库进行了高度优化使代码更少,占用更少资源。

为使用printfscanf函数需要在文件中包含stdio.h头文件。

输出提示信息

代码清单 20-9  输出提示信息

1 static void Show_Message(void)

 2 {

 3     printf("    这是一个通过串口通信指令控制RGB彩灯实验  ");

 4     printf("使用  USART1  参数为:%d 8-N-1  ",USARTx_BAUDRATE);

 5     printf("开发板接到指令后控制RGB彩灯颜色,指令对应如下: ");

 6     printf("   指令   ------ 彩灯颜色  ");

 7     printf("     1    ------      ");

 8     printf("     2    ------    绿  ");

 9     printf("     3    ------      ");

10     printf("     4    ------      ");

11     printf("     5    ------      ");

12     printf("     6    ------      ");

13     printf("     7    ------      ");

14     printf("     8    ------      ");

15 }

Show_Message函数全部是调用printf函数,“打印”实验操作信息到串口调试助手。

主函数

代码清单 20-10 主函数

 1 int main(void)

 2 {

 3     char ch;

 4     /* 配置系统时钟为216 MHz */

 5     SystemClock_Config();

 6

 7

 8     /* 初始化RGB彩灯 */

 9     LED_GPIO_Config();

10

11     /* 初始化USART1 配置模式为 115200 8-N-1 */

12     UARTx_Config();

13

14     /* 打印指令输入提示信息 */

15     Show_Message();

16     while (1) {

17         /* 获取字符指令 */

18         ch=getchar();

19         printf("接收到字符:%c ",ch);

20

21         /* 根据字符指令控制RGB彩灯颜色 */

22         switch (ch) {

23         case '1':

24             LED_RED;

25             break;

26         case '2':

27             LED_GREEN;

28             break;

29         case '3':

30             LED_BLUE;

31             break;

32         case '4':

33             LED_YELLOW;

34             break;

35         case '5':

36             LED_PURPLE;

37             break;

38         case '6':

39             LED_CYAN;

40             break;

41         case '7':

42             LED_WHITE;

43             break;

44         case '8':

45             LED_RGBOFF;

46             break;

47         default:

48             /* 如果不是指定指令字符,打印提示信息 */

49             Show_Message();

50             break;

51         }

52     }

53 }

首先我们定义一个字符变量来存放接收到的字符。

接下来调用SystemClock_Config 函数初始化系统时钟,调用LED_GPIO_Config函数完成RGB彩色GPIO初始化配置,该函数定义在bsp_led.c文件内。

调用USARTx_Config函完成USART初始化配置。

Show_Message函数使用printf函数打印实验指令说明信息。

getchar函数用于等待获取一个字符,并返回字符。我们使用ch变量保持返回的字符,接下来判断ch内容执行对应的程序了。

我们使用switch语句判断ch变量内容,并执行对应的功能程序。

20.6.3  下载验证

保证开发板相关硬件连接正确,用USB线连接开发板“USB TO UART”接口跟电脑,在电脑端打开串口调试助手,把编译好的程序下载到开发板,此时串口调试助手即可收到开发板发过来的数据。我们在串口调试助手发送区域输入一个特定字符,点击发送按钮,RGB彩色灯状态随之改变。

原文地址:https://www.cnblogs.com/firege/p/9323114.html