verilog 局部变量

verilog中使用局部变量要对相应的begin-end语句块起块名,如下

initial
begin:block1
  integer i;
end

initial
begin:block2
  integer i;
end
原文地址:https://www.cnblogs.com/fbi888/p/3134163.html