vivado2019操作之约束文件

Vivado2019的约束文件

1、 约束文件

vivado的约束文件是以xdc为后缀的。该文件具有时序约束和管脚约束的作用。该文件可以自己创建,也可以通过内置工具创建。

2、基本操作

(1)使用内部工具创建

在RTL ANALYSIS》open Elaborated Design中可以进入xdc的编辑界面。当然,这里只是用于管脚约束的添加部分。在右下角的IO ports可以加入对应信号的管脚。至于时序约束在windows》clock  regions中可以查看时钟域。具体设置后面学习后仿真时会接触到。

(2)创建xdc文件

直接在Constraints中添加文件即可。具体语法参考tools中的语言模版

3、总结

掌握基本的约束方法是仿真以及板级验证的基本能力。

原文地址:https://www.cnblogs.com/electricdream/p/13128580.html