7.小白学uvm验证

  uvm环境工作流程主要包含以下几个步骤:

  • module test_top
module test 模板
 module test_top;
    ...
    initial begin
        run_test();
    end
endmodule

  uvm 环境是在 module 中通过调用 run_test()<UVM 全局 task>,创建 uvm_root

  • uvm testbench 启动-根据 UVM_TESTNAME 创建 test case

  • 执行 test 和 它们各自的 components( env,agent,scoreboard... ),以及各个 phase( build_phase,connect_phase,... ,run_phase )

  • 在所有 phase 运行结束后,结束仿真

原文地址:https://www.cnblogs.com/dreamCll/p/11907765.html