FPGA对输入信号上升沿或下降沿检测原理和Verilog代码

目标:当输入信号,产生下降沿或下降沿时,能在下一个时钟周期得到响应。

                     

                           下降沿检测                                                                                                                  上升沿检测

步骤:1、将输入信号打两拍                                                                             步骤:1、将输入信号打两拍

   2、将第拍信号取反并与第二拍信号相与                            2、将第拍的信号取反与第一拍信号相与

   3、得到的高电平就是指示信号                                                                        3、得到的高电平就是指示信号

代码:

     

原文地址:https://www.cnblogs.com/cjyc/p/11275243.html