modelsim do.do

quit -sim
if [ file exists work ] {
vdel -all
}
vlib work
vmap work work
vlog -work work "*.v"
vsim -novopt work.test
view wave
add wave *
#add wave -position insertpoint sim:/test_Enc164a/encoder_u164/e0/*
run -all

原文地址:https://www.cnblogs.com/chunk998/p/15773957.html