使用notepad++调用vlog.exe程序编译verilog代码

经常使用notepad++的编辑器编写verilog代码,经常调用modelsim的进行基本编码输入检查

。但是每次都手动打开modelsim软件既费时间又由于启动modelsim GUI占用系统比较大的内存,

于是博主就经过研究notepad++工具,找到了一个直接在notepad++ 客户端运行vlog.exe来对verilog代码进行编译的办法

。打开一个verilog代码文件后,按F5,打开“运行”对话框,

在文本框输入cmd /k cd "$(CURRENT_DIRECTORY)" &  D:/questasim_10.0a/win32/vlog.exe "$(FULL_CURRENT_PATH)" & ECHO. & PAUSE & EXIT,然后点击“保存”,填写个名字,比如“Run with verilog”,配置下面的快捷键,点OK即可。

之后运行verilog文件只要按配置的快捷键或者在运行菜单上点“Run with verilog”即可。

运行之后 系统会默认的在notepad++的安装路径中新建一个work文件夹作为日后代码编译的work库文件,如果不能自己新建,可以先打开modelsim,随便使用一个verilog代码编译一个仿真库文件work文件夹,放到notepad++目录下即可运行。

原文地址:https://www.cnblogs.com/chunk998/p/15635303.html