paper:synthesizable finit state machine design techniques using the new systemverilog 3.0 enhancements之fsm summary

   主要是1.不要用1段式写FSM 2.不要用状态编码写one-hot FSM ,要用索引编码写one-hot FSM。

原文地址:https://www.cnblogs.com/chip/p/5472656.html