第三讲:post-processsing with vcs+ files

1,dump wave  by system function

     $vcdpluson(level_number,module_instance,....|net_or_reg)

     $vcdplusoff(module_instance,...|net_or_reg)

2.debug

仿真时下面要考虑:

1.speed

   主要是dump data数据量格式 tb写的科学规范.....

2.signal visibility 

3.signal tractablility

  主要是log显示和wave波形、schematic 、source ...

4.usability

   易上手使用,这个主要是verdi 


  建议:

  1.用`ifdef and `endif

    `ifdef dumpme

           $vcdpluson();

     `endif

  2.不建议用$test$plusargs  or $value$plusargs   <==编译速度慢,TB写的不规范

     initial begin:enable_dumping

         if($test$plusargs("dumpall")) $vcdpluson();

         else if($test$plusargs("dump+moduleA")) $vcdpluson(1,moduleA);

    end

原文地址:https://www.cnblogs.com/chip/p/4780536.html