第四章:用户自定义和枚举数据类型

此章一切为了可读性,代码自成文档。

1.typedef 建立用户自定义类型

2.enum建立枚举类型

枚举类型规定了变量取值范围(只能在规定的标签中取值),状态机常用此类型。

枚举类型同数组类似有专用的系统函数。

<枚举变量名>.first – 返回枚举列表第一个成员的值

<枚举变量名>.last – 返回枚举列表最后一个成员的值

<枚举变量名>.next(<N>) – 返回枚举列表中下一个成员的值,可以用一个整数作为next的参数,这种情况返回后面第N个成员的值

<枚举变量名>.prev(<N>) – 返回枚举列表中前一个成员的值

<枚举变量名>.num – 返回枚举列表中元素个数

<枚举变量名>.name – 返回枚举变量值对应的字符串,如果这个值不在枚举变量列表中,则返回一个空字符串

原文地址:https://www.cnblogs.com/chip/p/4392557.html