vivado保存debug波形

vivado保存debug波形

 
Vivado下debug后的波形通过图形化界面并不能保存抓取到波形,保存按钮只是保存波形配置,如果需要保存波形需要通过TCL命令来实现
write_hw_ila_data 0730_ila_1 [upload_hw_ila_data hw_ila_1]
write_hw_ila_data 0730_ila_2 [upload_hw_ila_data hw_ila_2]
0730_ila_1为保存的文件名,需要带路径,hw_ila_1为你的ILA的名字,[]中为对应的ILA核。
 
如果要读取已保存的波形,可以用下面的命令:
read_hw_ila_data 0730_ila_1.ila
display_hw_ila_data
read_hw_ila_data 0730_ila_2.ila
display_hw_ila_data
 
多个ILA核时,必须带[]
 
 
另外可以使用write_hw_ila_data -help 查看说明
 
 
 

如何把vivado中实时截取的debug信号保存下来

 
        (1)把想要观测的信号线加入在线逻辑分析仪中。
        (2)上板测试,trigger到想要的实时数据,用一句TCL语句保存为ila格式的文件,那句话是write_hw_ila_data data1 [upload_hw_ila_data hw_ila_1] 。其中data1为用户自己取的文件名,要带上路径,hw_ila_1为要保存的那组实时信号的名字。还有两句是读出保存好的数据的,read_hw_ila_data data1.ila,display_hw_ila_data。
        (3)把保存好的.ila格式的文件导入matlab中,用unzip语句解压,会出现一个csv格式的文件,这个文件可以用excel打开,并能直观地看到所有sample。
        (4)在excel中用自带的函数功能对数据进行处理,处理后可以再导入matlab中进行高级处理。
原文地址:https://www.cnblogs.com/chengqi521/p/8777534.html