verilog gtkwave

gtkwave,开源波形显示软件

来自 bluesky1 博客。http://blog.sina.com.cn/s/blog_566ca6330100c0t3.html~type=v5_one&label=rela_prevarticle

- GTKWave's homepage (?):
http://www.cs.manchester.ac.uk/apt/projects/tools/gtkwave/
- gEDA GTKWave:
  http://www.geda.seul.org/tools/gtkwave/

以下网址下载win32版本GTKwave

http://www.geocities.com/SiliconValley/Campus/3216/GTKWave/gtkwave-win32.html

具体用法:

windows命令行或者是cygwin下: gtkwave ***.vcd
另外,右键可以拉伸波形。

iverilog 是一个开源的verilog解释程序
    提供命令行的编译模式和文本界面的输出
    当然也可以写一点简单的makefile
      比如你的verilog 的源代码为test.v
在testbench中添加

$dumpfile("test.vcd");
$dumpvars(0, testbench.XX);
$dumpvars(1, testbench.XXX);
$dumpvars(2, testbench.XXXX);
     windows命令行下运行

      iverilog test.v      //可以跟参数
    就会生成a.out       //
    然后运行  ./a.out  //要在CODE中加入输出语句
   再用gtkwave test.vcd 即可查看生成的波形。

简要介绍下vcd,lxt.

gtkwave,icarus支持vcd,lxt,lxt2 dump.

vcd通用但vcd dump太大,gtkwave不太能很好的处理,导致崩溃。所以最好之前用lxt或将vcd转化为lxt格式。lxt格式是gtkwave的专用格式。gtkwave有带工具可以做此转化。

转载:http://www.eefocus.com/czzheng/blog/11-08/229642_734f4.html

原文地址:https://www.cnblogs.com/chengqi521/p/8052423.html