环境搭建基础知识2(sublime text3中配置verilog语法高亮)

需求说明:Verilog设计

内容       :verilog开发环境搭建

来自       :时间的诗

1 软件下载

1.1 官方下载

地址http://www.sublimetext.com/3
官网下载软件会显示未注册,不过不影响使用。如果有其他要求可以参考下面的方法

1.2 网友破解版

来自:http://www.52pojie.cn/thread-501480-1-1.html

Sublime Text – 性感的代码编辑器、程序员之必备神器!Sublime Text 现已更新至v3.3114 正式版,这款软件官方一直是英文界面没有提供中文,简体中文汉化特别版主要由 @流风清音 完成并发布!
Sublime Text 是个代码编辑器,也是HTML和散文先进的文本编辑器。Sublime Text 具有漂亮的用户界面和强大的功能,例如代码缩略图,Python的插件,代码段等。还可自定义键绑定,菜单和工具栏。Sublime Text 的主要功能包括:拼写检查,书签,完整的 Python API , Goto 功能,即时项目切换,多选择,多窗口等等。Sublime Text 是一个跨平台的编辑器,同时支持Windows、Linux、Mac OS X等操作系统。

汉化版介绍:
by roustar31
- 基于 Sublime Text 3 官方版进行修改汉化
- 软件已默认注册且已去除自动检测升级提示
- 整合GBK插件支持简体中文整合多款主题及细节优化
- 整合Git、DocBlockr、MarkdownPreview、ColorPicker、AllAutocomplete等插件
- 参考清风流音的汉化,清除个人信息
- 完全绿色便携化,并整合注册码
by 流风清音
1、基于官方版进行全网最完美汉化,基本上没什么英文了。
2、已默认注册软件无需购买,有钱的程序员可以支持正版。
3、去除程序自动检测升级,即无更新选项也没有升级提示。
4、调整字体大小自动换行等细节,以符合大众的使用习惯。
5、修正中文输入法鼠标跟随问题,深度整合GBK编码插件。
6、修改多处菜单选项和配置文件,使其更加实用更人性化。
7、集成多种常用插件其详细如下(不需要的请自行删除)
http://yunpan.cn/cVCuGxpwV8fVT     访问密码1265
http://pan.baidu.com/s/1hrqKcCk    访问密码6wgq

2 在Sublime中安装Package Control

  Sublime text 3 Package Control
来自:http://www.cnblogs.com/luoshupeng/archive/2013/09/09/3310777.html
原来Subl3安装Package Control很麻烦,现在简单的方法来了

2.1 简单的安装方法

使用Ctrl+`快捷键或者通过View->Show Console菜单打开命令行,粘贴如下代码:
import urllib.request,os; pf = 'Package Control.sublime-package'; ipp = sublime.installed_packages_path(); urllib.request.install_opener( urllib.request.build_opener( urllib.request.ProxyHandler()) ); open(os.path.join(ipp, pf), 'wb').write(urllib.request.urlopen( 'http://sublime.wbond.net/' + pf.replace(' ','%20')).read())

如果顺利的话,此时就可以在Preferences菜单下看到Package Settings和Package Control两个菜单了。
顺便贴下Sublime Text2 的代码:
import urllib2,os; pf='Package Control.sublime-package'; ipp = sublime.installed_packages_path(); os.makedirs( ipp ) if not os.path.exists(ipp) else None; urllib2.install_opener( urllib2.build_opener( urllib2.ProxyHandler( ))); open( os.path.join( ipp, pf), 'wb' ).write( urllib2.urlopen( 'http://sublime.wbond.net/' +pf.replace( ' ','%20' )).read()); print( 'Please restart Sublime Text to finish installation')

2.2 手动安装

可能由于各种原因,无法使用代码安装,那可以通过以下步骤手动安装Package Control:
a.点击Preferences > Browse Packages菜单
b.进入打开的目录的上层目录,然后再进入Installed Packages/目录
c.下载Package Control.sublime-package并复制到Installed Packages/目录
d.重启Sublime Text。


来自:http://blog.csdn.net/Vela_yang/article/details/40678423

2.3 CTRL+SHIFT+P打开package control界面

 

2.4 输入install  回车  

在新的输入框中输入verilog  点击Verilog(第一个) 很快安装完成。

   (我这里已经安装,第一个不是Verilog了)

      

2.5 添加verilog高亮语法

菜单--查看--语法,向下找到Verilog 点击即可

2.6 修改配色方案

2.7 界面效果

(选择喜欢的配色方案 。与官方IDE中的白色背景相比,还是很好看的 )

转载自:http://blog.csdn.net/times_poem/article/details/52044696

 
原文地址:https://www.cnblogs.com/chengqi521/p/7600379.html