关于quartus ii软件中注释乱码问题的解决方法

最近在看Verilog代码,由于我的quartus版本打开他们的文件注释会全部乱码,痛苦万分!从网上找了下原因,解决方法基本没有,不过看到有人提出是编码的问题,立马我就想到一个解决方法,经过实验果然有用,下面介绍给大家,希望对你们有用!

乱码现象:

<记录>关于quartus <wbr>ii软件中注释乱码问题的解决方法

 
解决办法:

 

打开文件所在工程找到该verilog文件(后缀名是.v),使用记事本打开,这时你会看到注释好好的没乱码,很高兴是不,不用着急。接下来点击文件再另存为,选择编码:UTF-8点保存,这时会提示是否替换,点击是。这时再打开文件就不会再出现乱码了,赶快去试试吧!
 

成功解决:

 

<记录>关于quartus <wbr>ii软件中注释乱码问题的解决方法

 

ps:

 

实验quartus版本13.1web edition.不过用10.1版本貌似解决不了。这个还有待研究。不过希望对你有用,如果没用的话,只能看txt了<记录>关于quartus <wbr>ii软件中注释乱码问题的解决方法

 

转载自:http://blog.sina.com.cn/s/blog_7ba707bd0102ux6z.html

 

 

 

 

Quartus II 中文注释乱码解决办法

2015-02-10

有些时候我们用Quartus II 打开不同版本创建的工程文件时,往往会出现下列提示

      Yes后,Quartus II 会自动把文件转换成我们安装的版本。但是却出现了下图中糟糕的情况,我们发现中文注释变成了乱码,影响到了我们阅读代码的心情。

       这点,相信很多刚学FPGA的新人们,热衷于下载代码例程学习时,都有遇到到这样的情况。

       造成这种乱码的原因是,在Quartus II版本升级时,更换了编码标准Quartus II 11.0 以下的版本使用的是ANSI编码, 而 12.0 到更新的版本14.0,则是使用UTF-8编码。知道了这个原因后,我们就很容易想出解决办法了。办法很简单,如下所示:

       用记事本或者notepad++打开对应有乱码的.v文件,另存为UTF-8编码的文件,并保存。

注意如果安装使用的是11.0以下(包括11.0sp1)版本的Quartus II,想将UTF-8编码的转换成ANSI编码,中文仍会乱码。所以建议还是使用较新版本的Quartus II更加省事。

转换后重新打开效果如图:

原文地址:https://www.cnblogs.com/chengqi521/p/7054136.html