modelsim 保存波形文件

1.

do文件记录了仿真的过程和加载的各种库.

do文件的保存过程:

file——>save format——>D:/modeltech_6.5b/examples/run_wave.do 可以更改do文件名称和存放目录,一般保存在仿真目录中。

2.在仿真路径中找到文件vsim.wlf打开,再命令窗口输入:do run_wave.do

具体操作:

1.打开modelsim se :切换目录到仿真工程下。

2.命令行输入:OpenFile vsim.wlf

3.命令行输入:do run_wave.do(上次保存的wave.do文件)

参考:

保留仿真后的波形,步骤如下:

1.点击file菜单下的save format,保存为.do格式文件

2.下次打开Modelsim后,在控制台输入do wave.do命令后,即可看到上次仿真的波形图

此外,点击向上箭头的按键可以重复输入上次命令。

http://blog.sina.com.cn/s/blog_49eb23070101061g.html

怎么样保存仿真的完整波形(不是printScreen),File>export>image好象和截屏的功能一样,只能保存一部分波形,怎么样保存成modelsim认识的波形,下次看的时候只需要打开这个波形图,不需要重新仿真,这个在vcs中可以的,用vcd文件,好像modelsim也支持dump vcd的,不知道具体怎么做,怎样保存,怎么样打开?请同行指点,不胜感激! 
还有,wave.do文件是怎么回事?打开后好像是文字形式的,如果是波形图就达到我的要求了。 
 
使用"dataset save sim filename.wlf "保存仿真波形, 
filename.wlf文件的名字可以自己任意取。打开时候直接,open filename.wlf文件就可以了。   
 直接通过OPEN找到.WLF文件,打开就可以了.  
 
像2楼那样说的,保存wlf,下一次只要直接打开它就可以了。但是有这样的情况出现,如果在保存wlf前,你改动了某些信号的位置,下一次打开是会回到原先的位置,此时你可以把do文件一起保存,在下一次打开wlf文件后,再打开do文件,你先前的顺序就会回来  
6.波形信号的保存:有时,在波形窗口内拖放了较多的信号,可以保存起来以后调入。在wave窗口,File -> Save format,保存成*.do文件。以后需要调入时,在modelsim主窗口命令行内执行:do *.do即可。

https://wenku.baidu.com/view/2e6687f3ba0d4a7302763a8b.html

为了方便以后察看仿真结果,可以将仿真波形保存在.Wlf文件中:

一.保存过程:

1.完成仿真波形

2.切换到sim视窗

3.点击保存图标,可以直接保存为.wlf文件

二.打开过程

1.打开modelsim

2 file菜单打开.wlf文件(要在文件类型中选择.wlf类型)

3.确定之后出现sim视窗

4.选中窗口中的测试文件名右击————add wave即可在wave窗口打开该测试坡形。


三.
也可以将波形保存为.do文件

 do文件记录了仿真的过程和加载的各种库.

do文件的保存过程:

file——>save format——>D:/modeltech_6.5b/examples/wave.do 可以更改do文件名称和存放目录

2.do文件的加载:

file——>load(注意要在sim视窗下)。打开之后貌似只显示出仿真过程代码,没法显示波形。

http://blog.163.com/xiaoting_hu/blog/static/5046477220136234857221/

原文地址:https://www.cnblogs.com/chengqi521/p/6907211.html