Xilinx ISE Design Suite 14.7 ISim 简单仿真

1、创建完项目(以Xilinx ISE Design Suite 14.7开发流程的例子    led例子   为例),编译通过,我们就可以对这个项目进行仿真;

2、然后切换到simulation,然后创建仿真文件

3、实例化led模块

4、确证是否正确然后finish

5、之后可以看到测试文本已经帮我们写好了,我们只需编写初始化代码就好了(初始化代码可以根据自己的输入编写,输出就不要去初始化了,这里我的例子没有输入,因此我就不编写初始化代码了)

7、仿真的结果(会自动弹出ISim工具的),观察波形图,是否是自己设计的结果(我这里是固定的,所以波形只有高和低,不会变化)

 转载自:http://blog.csdn.net/aiqin138/article/details/45309609
原文地址:https://www.cnblogs.com/chengqi521/p/6196642.html