verilog 基本语法

module    module_name(port_name);(后面有  ‘;’)

input port_name1;

output port_name2;

endmodule

begin

end

(未完成)

(如有错误,请各位不吝赐教)

(如有侵权,请联系删除)

原文地址:https://www.cnblogs.com/chen1262567640/p/10932529.html