在Qsys中创建用户自定义IP

在SOC FPGA的设计中,必须使用Qsys软件才能将ARM和FPGA之间的接口引入到FPGA设计中。为了设计上的方便,客户经常希望将Qsys中的一些接口信号引入到FPGA顶层设计文件中。本文以Avalon总线为例,介绍如何在Qsys中创建自己的IP。

附:QSYS中调用DDR3

http://www.heijin.org/forum.php?mod=viewthread&tid=30403&extra=

原文地址:https://www.cnblogs.com/chasing/p/3896241.html