SDC文件模版

# 1. Define clock
create_clock -name "clk_in" -period 20ns [get_ports {clk_in}]

# 2. tco constraints
# 2.1. Here, 2ns is tsu of external component
set_output_delay -clock "clk_in" -max 2ns [get_ports{pout}] 
# 2.2. Here, 3ns is th of external component
#        ! Use negative value of th !
set_output_delay -clock "clk_in" -min -3ns [get_ports{pout}]
# 2.3. Here, 3ns is tco of external component
set_input_delay -max 3ns -clock "clk_in" [get_ports{pin}]
# 2.4. Here, 2ns is minimal tco of external component
set_input_delay -min 2ns -clock "clk_in" [get_ports{pin}]
原文地址:https://www.cnblogs.com/byeyear/p/4320958.html