(转帖)Quartus II开发软件中的宏模块(Quartus II)

Quartus II开发软件中的宏模块--存储器宏模块
 
Quartus II开发软件中的宏模块--存储器宏模块
RAM宏模块
宏模块名称   功能描述
csdpram    参数化循环共享双端口RAM
lpm_ram_dp   参数化双端口RAM
lpm_ram_dq   参数化RAM,输入/输出端分离
lpm_ram_io   参数化RAM,输入/输出端公用一个端口
FIFO宏模块
宏模块名称   功能描述
csfifo    参数化循环共享FIFO
dcfifo    参数化双时钟FIFO
scfifo    参数化单时钟FIFO
lpm_fifo    参数化单时钟FIFO
lpm_fifo_dc   参数化双时钟FIFO
ROM的设计
lpm_rom
Quartus II开发软件中的宏模块--时序电路宏模块
 

Quartus II开发软件中的宏模块--时序电路宏模块
触发器
宏模块名称 功能描述
lpm_ff  参数化D或T触发器
lpm_dff  参数化D触发器和移位寄存器
lpm_tff  参数化T触发器
enadff  带使能端的D触发器
expdff  用扩展电路实现的D触发器
7470  带预置和清零端的与门JK触发器
7471  带预置端的JK触发器
7472  带预置和清零端的与门JK触发器
7473  带清零端的双JK触发器
7474  带异步预置和异步清零端的双D触发器
7476  带异步预置和异步清零端的双JK触发器
7478  带异步预置、公共清零和公共时钟端的双JK触发器
74107  带清零端的双JK触发器
74109  带预置和清零端的双JK触发器
74112  带预置和清零端的双JK时钟下降沿触发器
74113  带预置端的双JK时钟下降沿触发器
74114  带异步预置、公共清零和公共时钟端的双JK时钟下降沿触发器
74171  带清零端的4D触发器
74172  带三态输出的多端口寄存器
74173  4位D型寄存器
74174  带公共清零端的16进制D触发器
74174b  带公共清零端的16进制D触发器
74175  带公共时钟和清零端的4D触发器
74273  带异步清零端的8进制触发器
74273b  带异步清零端的8进制触发器
74276  带公共预置和清零端的4JK触发器寄存器
74374  带三态输出和输出使能端的8进制D触发器
74374b  带三态输出和输出使能端的8进制D触发器
74376  带公共时钟和公共清零端4JK触发器
74377  带使能端的8进制D触发器
74377b  带使能端的8进制D触发器
74378  带使能端的16进制D触发器
74379  带使能端的4D触发器
74396  8进制存储寄存器
74548  带三态输出的8位两级流水线寄存器
74670  带三态输出的4位寄存器
74821  带三态输出的10位总线接口触发器
74821b  带三态输出的10位D触发器
74822  带三态反相输出的10位总线接口触发器
74822b  带三态反相输出的10位D触发器
74823  带三态输出的9位总线接口触发器
74823b  带三态输出的9位D触发器
74824  带三态反相输出的9位总线接口触发器
74824b  带三态反相输出的9位D触发器
74825  带三态反相输出的8位总线接口触发器
74825b  带三态输出的8进制D触发器
74826   带三态反相输出的9位总线接口触发器
74826b  带三态反相输出的8进制D触发器

锁存器
宏模块名称 功能描述
lpm_latch 参数化锁存器
explatch 用扩展电路实现的锁存器
Inpltch  用扩展电路实现的输入锁存器
nandltch 用扩展电路实现的SR(非)与非门锁存器
norltch  用扩展电路实现的SR或非门锁存器
7475  4位双稳态锁存器
7477  4位双稳态锁存器
74116  带清零端的双4位锁存器
74259  带清零端、可设定地址的锁存器
74279  4路SR(非)锁存器
74373  带三态输出的8进制透明D锁存器
74373b  带三态输出的8进制透明D锁存器
74375  4位双稳态锁存器
74549  8位二级流水线锁存器
74604  带三态输出的8进制2输入多路锁存器
74841  带三态输出的10位总线接口D锁存器
74841b  带三态输出的10位总线接口D锁存器
74842  带三态输出的10位总线接口D锁存器
74842b  带三态输出的10位总线接口D反相锁存器
74843  带三态输出的9位总线接口D锁存器
74844  带三态输出的9位总线接口D反相锁存器
74845  带三态输出的8位总线接口D锁存器
74846  带三态输出的8位总线接口D反相锁存器
74990  8位透明读回锁存器

计数器
宏模块名称 功能描述
lpm_conter 参数化计数器(仅限FLEX系列器件)
gray4  格雷码计数器
unicnt  通用4位加/减计数器,可异步设置、读取、清零和级联的左/右移位寄存器
16cudslr 16位2进制加/减计数器,带异步设置的左/右移位寄存器
16cudsrb 16位2进制加/减计数器,带异步清零和设置的左/右移位寄存器
4count  4位2进制加/减计数器,同步/异步读取,异步清零
8count  8位2进制加/减计数器,同步/异步读取,异步清零
7468  双10进制计数器
7469  双12进制计数器
7490  10/2进制计数器
7492  12进制计数器
7493  4位2进制计数器
74143  4位计数/锁存器,带7位输出驱动器
74160  4位10进制计数器,同步读取,异步清零
74161  4位2进制加法计数器,同步读取,异步清零
74162  4位2进制加法计数器,同步读取,同步清零
74163  4位2进制加法计数器,同步读取,同步清零
74168  同步4位10进制加/减计数器
74169  同步4位2进制加/减计数器
74176  可预置10进制计数器
74177  可预置2进制计数器
74190  4位10进制加/减计数器,异步读取
74191  4位2进制加/减计数器,异步读取
74192  4位10进制加/减计数器,异步清零
74193  4位2进制加/减计数器,异步清零
74196  可预置10进制计数器
74197  可预置2进制计数器
74290  10进制计数器
74292  可编程分频器/数字定时器
74293  2进制计数器
74294  可编程分频器/数字定时器
74390  双10进制计数器
74393  双4位加法计数器,异步清零
74490  双4位10进制计数器
74568  10进制加/减计数器,同步读取,同步和异步清零
74569  2进制加/减计数器,同步读取,同步和异步清零
74590  8位2进制计数器,带三态输出寄存器
74592  8位2进制计数器,带输入寄存器
74668  同步10进制加/减计数器
74669  同步4位2进制加/减计数器
74690  同步10进制计数器,带输出寄存器,多重三态输出,异步清零
74691  同步2进制计数器,带输出寄存器,多重三态输出,异步清零
74693  同步2进制计数器,带输出寄存器,多重三态输出,同步清零
74696  同步10进制加/减计数器,带输出寄存器,多重三态输出,异步清零
74697  同步2进制加/减计数器,带输出寄存器,多重三态输出,异步清零
74698  同步10进制加/减计数器,带输出寄存器,多重三态输出,同步清零
74699  同步2进制加/减计数器,带输出寄存器,多重三态输出,同步清零

分频器
宏模块名称 功能描述
Freqdiv  2,4,8,16分频器
7456  双时钟5,10分频器
7457  双时钟5,6,10分频器

多路复用器
宏模块名称 功能描述
lpm_mux  参数化多路复用器
2lmux  2线-1线多路复用器
16lmux  16线-1线多路复用器
2X8mux  8位总线的2线-1线多路复用器
8lmux  8线-1线多路复用器
74151  8线-1线多路复用器
74151b  8线-1线多路复用器
74153  双4线-1线多路复用器
74157  四2线-1线多路复用器
74158  带反相输出的四2线-1线多路复用器
74251  带三态输出的8线-1线数据选择器
74253  带三态输出的双4线-1线数据选择器
74257  带三态输出的四2线-1线多路复用器
74258  带三态反相输出的四2线-1线多路复用器
74298  带存储功能的四2输入多路复用器
74352  带反相输出的双4线-1线数据选择器/多路复用器
74353  带三态反相输出的双4线-1线数据选择器/多路复用器
74354  带三态输出的8线-1线数据选择器/多路复用器
74356  带三态输出的8线-1线数据选择器/多路复用器
74398  带存储功能的四2输入多路复用器
74399  带存储功能的四2输入多路复用器

移位寄存器
宏模块名称 功能描述
lpm_clshift 参数化组合逻辑移位器
lpm_shiftreg 参数化移位寄存器
barrelst 8位桶形移位器
barrlstb 8位桶形移位器
7491  串入串出移位寄存器
7494  带异步预置和异步清零端的4位移位寄存器
7495  4位并行移位寄存器
7496  5位移位寄存器
7499  带JK串入串出端的4位移位寄存器
74164  串入并出移位寄存器
74164b  串入并出移位寄存器
74165  并行读入8位移位寄存器
74165b  并行读入8位移位寄存器
74166  带时钟禁止端的8位移位寄存器
74178  4位移位寄存器
74179  带清零端的4位移位寄存器
74194  带并行读入端的4位双向移位寄存器
74195  4位并行移位寄存器
74198  8位双向移位寄存器
74199  8位双向移位寄存器
74295  带三态输出端的4位左右移位寄存器
74299  8位通用移位/存储寄存器
74350  带三态输出端的4位移位寄存器
74395  带三态输出端的4位可级联移位寄存器
74589  带输入锁存和三态输出端的8位移位寄存器
74594  带输入锁存的8位移位寄存器
74595  带输入锁存和三态输出端的8位移位寄存器
74597  带输入寄存器的8位移位寄存器
74671  带强制清零和三态输出端的4位通用移位寄存器/锁存器

Quartus II开发软件中的宏模块--运算电路宏模块
 
Quartus II开发软件中的宏模块--运算电路宏模块
加法器和减法器
宏模块名称   功能描述
lpm_add_sub   参数化加法器/减法器
8fadd    8位全加器
8faddb    8位全加器
7480    门控全加器
7482    2位2进制全加器
7483    带快速进位的4位2进制全加器
74183    双进位存储全加器
74283    带快速进位的4位全加器
74385    带清零端的4位加法器/减法器
乘法器
宏模块名称   功能描述
lpm_mult    参数化乘法器
mult2    2位带符号数乘法器
mult24    2X4位并行2进制乘法器
mult4    4位并行2进制乘法器
mult4b    4位并行2进制乘法器
tmult4    4X4位并行2进制乘法器
7497    同步6位速率乘法器
74261    2位并行2进制乘法器
74284    4X4位并行2进制乘法器(输出结果的最高4位)
74285    4X4位并行2进制乘法器(输出结果的最低4位)
除法器
divide和lpm_divide
绝对值运算
lpm_abs
数值比较器
宏模块名称   功能描述
lpm_compare   参数化比较器
8mcomp    8位数值比较器
8mcompb    8位数值比较器
7485    4位数值比较器
74518    8位恒等比较器
74518b    8位恒等比较器
74684    8位数值/恒等比较器
74686    8位数值/恒等比较器
74688    8位恒等比较器
编码器
模块名称   功能描述
74147    10线-3线BCD编码器
74148    8线-3线8进制编码器
74384    带三态输出的8线-3线优先权编码器
译码器
宏模块名称   功能描述
lpm_decode   参数化译码器
16dmux    4位2进制-16线译码器
16ndmux    4位2进制-16线译码器
7442    1线-10线BCD-10进制译码器
7443    余3码-10进制译码器
7444    余3格雷码-10进制译码器
7445    BCD码-10进制译码器
7446    BCD码-7段译码器
7447    BCD码-7段译码器
7448    BCD码-7段译码器
7449    BCD码-7段译码器
74137    带地址锁存的3线-8线译码器
74138    3线-8线译码器
74139    双2线-4线译码器
74145    BCD码-10进制译码器
74154    4线-16线译码器
74155    双2线-4线译码器/多路输出选择器
74156    双2线-4线译码器/多路输出选择器
74246    BCD码-7段译码器
74247    BCD码-7段译码器
74248    BCD码-7段译码器
74445    BCD码-10进制译码器
奇偶校验器
宏模块名称   功能描述
74180    9位奇偶产生器/校验器
74180b    9位奇偶产生器/校验器
74280    9位奇偶产生器/校验器
74280b    9位奇偶产生器/校验器
爱好FPGA!
原文地址:https://www.cnblogs.com/bobgeng/p/1346603.html