verilog 参数化设计

  • 调用参数的两个方法:
  1.      在例化模块的时候 通过#给参数赋值
  2.      用defparam来指定例化模块的内部参数
原文地址:https://www.cnblogs.com/baihuashan/p/11736949.html