ModelSim、SignalTap II和Matlab(Simulink)的联调

1. 使用Signal Tap II采集到的数据进行Matlab仿真

在使用FPGA进行无线通信或者进行信号处理时,一般按照这样的步骤进行:


    (1)利用matlab进行浮点算法仿真


    (2)将matlab浮点算法转换为定点算法,进行仿真,并与浮点算法的性能进行比较


    (3)如果定点算法性能到达要求,就可以在FPGA上进行定点算法的实现


    (4)FPGA实现定点算法后,要将FPGA实现的算法性能与matlab仿真进行比较,以确定是否达到要求


    第四步需要进行FPGA与Matlab仿真的比较。如果是用FPGA的仿真结果与Matlab仿真结果进行比较的话,可以借助Modelsim仿真时将产生的数据写入文件,然后再在Matlab中读出文件中的数据,进行仿真比较。


    那么如果要更加真实的比较FPGA实现后的结果与Matlab仿真效果的话,可以利用Signal Tap II,在线采集FPGA内部处理产生的数据,然后在Signal Tap II窗口右键点击,选择create signalTap II list File命令。如下图所示。



    进行这步操作后,会产生一个文件,下面是从文件中截取了一部分数据,如下所示:


Signal Legend: 

Key        Signal Name 

0        = ad_clk
1        = ad_data
2        = rx:rx_inst|rx_demsk:rx_demsk_inst|compare_decesion:compare_decesion|data_out
3        = rx:rx_inst|rx_demsk:rx_demsk_inst|FIR_LPF_16:FIR_LPF_16_INST|data_out 

Data Table: 

Signals-> 0 1    2 3            

sample 

-256      0 2604 0 -7024719322  
-255      1 2604 0 -7024719322  
-254      1 2604 0 -8128737870  
-253      1 421  0 -8128737870  
-252      0 421  0 -8128737870  
-251      0 421  0 -8128737870  
-250      1 421  0 -8128737870  
-249      1 421  0 -8813966451  
-248      1 4    0 -8813966451  
-247      0 4    0 –8813966451  

……


    下面就可以利用matlab读取这些数据了,为了matlab读取方便,我们可以把前面表明信号名称等内容删除,只保留sample后面的数据。


    然后打开matlab,选择File –> import data命令,如下图所示:



    选择刚才的数据文件,会出现如下对话框:



    可以看到matlab把数据文件中的数据,作为一个矩阵进行存储,矩阵的名称就是刚才数据文件保存的名称。这时你就可以在Workspace中看到这个数据了,如下图所示:


    


    这样我们就可以利用这些数据在Matlab中进行仿真了,如果想读取这个矩阵中第5列的数据可以一下命令:


    data5 = stp_data(:,5);


    这里然后进行可对data5进行处理,下图是直接读取signaltap II采集的数据,在Matlab进行显示:



    另外,除了可以使用GUI操作读取数据的话,matlab也提供了响应的函数textread,可以使用下面的命令读出数据:


    [a1,a2,a3,a4,a5]=textread('stp_data.txt','%d%d%d%d%d')


    这样,就可以将stp_data.txt中的数据读入。具体关于textread的使用方法可以参考Matlab的help

>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>

2. 通过文件读写方式实现Matlab和Modelsim的联合仿真

    虽然Modelsim的功能非常强大,仿真的波形可以以多种形式进行显示,但是当涉及到数字信号处理的算法的仿真验证的时候,则显得有点不足。而进行数字信号处理是Matlab的强项,不但有大量的关于数字信号处理的函数,而且图形显示功能也很强大,所以在做数字信号处理算法的FPGA验证的时候借助Matlab会大大加快算法验证的速度。

    关于MatlabModelsim联合仿真,我从网上看到两种方法,一种是通过Link for Modelsim建立MatlabModelsim的联合仿真接口;另一种就是通过文件读写的方式实现MatlabModelsim的联合仿真。我没有仔细研究过第一种方法,我大概看了一下,感觉过程比较复杂,不过功能肯定也很强大,网上有一篇关于Link for Modelsim的文章http://space.ednchina.com/Upload/2009/11/16/9e8d0364-20ed-4583-a85e-4d1fc50783a7.rar" target=_blank>,有兴趣的朋友可以去看一看。关于第二种方法,只是通过几个文件读写函数就可以实现了,而且基本可以满足当前仿真的要求,所以这里主要讨论一下我所使用的这种方法,希望能够抛砖引玉吧,因为我也只能算个初学者而已。

    1. Matlab产生数据用作Modelsim仿真

    在FPGA进行算法验证的时候,经常需要输入仿真数据,这些数据可以用FPGA产生,但是如果数据产生过程很复杂的话,需要耗费很大的精力,并且产生的数据的准确性也不能保证。例如,如果要验证一个通信接收机的相关算法,那么我们就需要先产生发送数据,也就是说得先做一个发射机,如果这个过程也由FPGA实现的话,也是一个很复杂的过程。这时候我们就可以借助Matlab,利用Matlab内部自带的各种函数,产生需要的信号,再经过定点化,就作为FPGA接收模块的输入信号了。这样做无疑会节约很多时间和精力。

    下面用一个简单的例子说明如何用Matlab产生的数据用作Modelsim仿真。

    首先利用matlab产生一个周期2568bit的正弦波数据,然后以16进制形式写入sin.txt文件

          N = 256;

          n = 1:256;

          x = fix(128 + (2^7 - 1) * sin(2*pi*n/N));

          fid = fopen('sin.txt','wt');

          fprintf(fid,'%x\n',x);

         fclose(fid);

    下图是截取的产生的数据文件的内容

    然后将产生的sin.txt文件复制到Modelsim的工程下,在Verilog文件中先定义一个8bit X 256数组,然后通过$readmemh命令,将文件中的数据读入,相关的Verilog代码如下:

reg [7:0] data_mem[0:255]; //定义一个8bit X 256的数组

initial

    begin

    $readmemh("sin.txt",data_mem); //sin.txt中的数据读入存储器data_mem

    end

    关于$readmemh的用法可以参见Verilog的参考书,这里就不详细说了。

后面就可以用data_mem作为你的测试数据了。例如可以通过以下代码,将data_mem的数据送给data_out

always @(posedge clk)

begin

    if(rst)

        begin

        data_out <= 8'd0;

        i <= 8'd0;

        end

    else

        begin

        data_out <= data_mem[i]; //将存储器中的数据输出

        i <= i + 8'd1;

        end

end

    这样利用data_out就可以输出一个正弦波波形,下图是Molesim仿真产生的正弦波波形:

    2. MatlabModelsim仿真生成的数据进行分析

        MatlabModelsim仿真生成数据的处理也是通过文件读写实现的。即通过Verilog语句,将仿真过程中的某个信号写入文件,然后在Matlab中在把这个文件的数据读出来,就可以在Matlab中进行分析了。

    下图也通过一个简单的例子,说明一下整个过程。

    以下的Verilog语句实现将信号data_out的数据写入data_out.txt文件

    integer w_file;

    initial w_file = $fopen("data_out.txt");

    always @(i)

    begin

        $fdisplay(w_file,"%h",data_out);

        if(i == 8'd255)    //共写入256个数据

            $stop;

    end

    下图是截取的data_out.txt的部分内容:

    然后就可以编一小段Matlab的程序将data_out.txt中的数据读取进行分析了。下面一段Matlab的程序是将数据读取,并通过图形显示出数据的波形。

    fid = fopen('data_out.txt','r');

    for i = 1 : 256;

        num(i) = fscanf(fid, '%x', 1);    %这句话的意思是从fid所指的文件以16进制方式读出一个数据。

    end

    fclose(fid);

    plot(num);

    当利用fscanf函数时要注意两点

    第一:保证读取的数据格式和文件中保存的数据格式是相同的,例如这里文件中保存的格式是十六进制,所以读取的时候也应该以十六进制的形式读出。

    第二:要保证文件中数据的个数和设定的读取的数目(这里是256)保持一致。例如,要将生成文件data_out.txt中多余的换行符去掉(一般最后会多出一行),否则Matlab会将空的行也当做一个数据,从而两个数目不一致,导致Matlab报错。

    下图是Matlabdata_out.txt中的数据读出,并显示出的波形

    当然,有了Matlab这个强大的工具,也就可以很方便的看信号的频谱等信息了。

    另外在说一点,就是关于通过Verilog将数据写入文件有多种方法,上面用的是$fdisplay这个系统函数,当然还有$fmonitor$fwrite等几个命令,下面简单说一下这几个命令的不同。

  • $fdisplay

    这个命令需要有触发条件,才会把数据写入文件,例如,上例的触发条件就是always(i),当i变化的时候才写入。每写入一次数据会自动增加一个换行符。

  • $fmonitor

    这个命令不需要触发条件,只要有变化就可以将数据写入文件。例如可以通过以下语句:


    initial $fmonitor(w_file,"%h",data_out);


    这样可以将整个仿真过程产生的data_out数据都写入文件中。

  • $fwrite

    这个命令和$fdisplay基本相同,也是需要触发条件才会写入,不同的是每写入一个数据不会自动添加换行符。例如可以通过以下语句:


    always @(posedge clk)


    begin


        $fwrite(w_file,"%h\n",data_out);


    end

    关于这几个命令的详细介绍,大家可以参考Verilog的相关数据。

        简单总结一下上面用到的几个函数:

  • 关于Matlab的函数有:fopen fscanffclose

  • 关于Modelsim的函数有:$fopen $fclose$readmemh$readmemb$fmonitor$fdisplay$fwrite

    上面就是我关于MatlabModesim进行联合仿真的一些心得,如果大家还有其他更好的方法,希望不吝赐教啊!

    下面是相关的代码,包括Matlab的代码和Verilog的代码。

>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>>

3​.  一个simulink和modelsim联合仿真的简单例子

首先,要安装matlab和modelsim,这个是不用说的了。

然后要在matlab里对modelsim进行配置,在命令窗口中输入configuremodelsim,根据提示一步一步进行即可。

然后在matlab的File/Set Path菜单中加入Modelsim所在的路径。

把matlab的当前路径切换到modelsim的可执行文件所在的目录,在命令窗口中输入

vsim('socketsimulink',4449)

则modelsim软件被启动,在modelsim中创建一个inverter.v文件:

module inverter(sin,sout,clk);
input [7:0] sin;
output [7:0] sout;
input clk;
reg [7:0] sout;

always @(posedge clk)
begin
  sout<=~sin;
end

endmodule

这个程序很简单,就是个取反逻辑。

在modelsim的命令窗口输入:

vlib work

vmap work work

vlog inverter.v

如果有出错信息,注意检查modelsim的工作路径与文件的路径是否一致,代码是否有输入错误。

如果编译没有错误,就在命令窗口继续输入:

vsimulink work.inverter

现在modelsim的仿真已经运行起来了,但是这个仿真没有测试向量输入,实际上,modelsim是作为一个服务器,等待着simulink发送输入信号,并把输出信号返回给simulink。

所以我们现在回到matlab,在命令窗口输入simulink,进入simulink环境。

建立一个新的模型文件,在文件窗口内,建立如下的模型:

simulink模型

模型由三个模块组成:最左侧的是一个信号源,最右侧的是一个示波器,中间就是simulink和modelsim的桥梁--HDL Cosimulation模块。

Counter Free-Running的参数设置如下:

Number of Bits:8

Sample time:1

这表示信号源输出一个8位二进制数,采样周期为1秒,每个1秒输出值加1。

示波器参数不需要设置,比较复杂的是HDL Cosimulation模块的参数设置,为了描述简单,我这里直接给出参数设置的图片,具体参数的解释在下一篇里讲。

(1)Ports参数

Ports参数

(2)Clocks参数

Clocks参数 

(3)Timescales参数

Timescales参数

(4)Connection参数

Connection参数

(5)Tcl参数

Tcl参数

设置好模块参数后,再设置仿真的配置参数如下:

simulation参数

下面就可以点击仿真按钮,如果没有错误的话,双击示波器模块,就可以看到输出信号波形,和输入信号相比,两者在数值上正好是二进制的取反。

好,一个simulink和modelsim仿真的完整过程就实现了,有时间再仔细讨论具体的参数。

原文地址:https://www.cnblogs.com/aikimi7/p/3122573.html