数字电路基础与QuartusII

数字电路基础与Quartus-II

一、Quartus-II安装

安装教程参考https://blog.csdn.net/qq_43279579/article/details/115158140

二、在 Quartus-II 中自己用门电路设计一个D触发器,并进行仿真,时序波形验证

1.创建工程

根据使用的FPGA,进行芯片选择,创建成功

2.创建方框文件

选择New

选择Block Diagram/Schematic File

选择nand2,二个输入的与非门,依次添加四个and2和一个非门not

最后连线保存电路图

3.编译原理图文件

启动分析与综合(全编译)
rtl viewer,查看硬件电路图

硬件电路图

4.创建vwm格式波形文件

选择vwf

选择Edit->Insert->Insert Node or Bus

添加Node or Bus

添加效果

编辑输入Clk,产生时钟信号

鼠标选择D,Q信号Q_n,,进行编辑

5.时序波形仿真

仿真结果如下

原文地址:https://www.cnblogs.com/Zzxin/p/14611255.html