modelsim-altera IP核仿真

modelsim 仿真fifo时出现 Instantiation of 'scfifo' failed. The design unit was not found.

2012-07-21 13:27GreatToad | 分类:电脑/网络 | 浏览1321次
modelsim 仿真fifo时出现 Error: (vsim-3033) E:/Programs/ModelSim/fifo/ps2_fifo.v(75): Instantiation of 'scfifo' failed. The design unit was not found. 求教各位大侠如何解决?
我有更好的答案
 
 
按默认排序|按时间排序

2条回答

2013-06-25 15:19vbtbep|二级
因为你使用了MegaWizard生成的FIFO,“scfifo”就是调用的Megafunction名称。在仿真时,其他文件都编译好后,在命令行输入如下内容:vsim -L altera_mf_ver work.test_bench_sim。 其中altera_mf_ver是verilog版的mf库,使用MegaWizard生成所用的功能在里面都有。work.test_bench_sim中:work是你使用的仿真工程的库,test_bench_sim是顶层文件,你改成自己的就行了。然后添加信号到波形,运行仿真就可以了。关于命令官方用户手册上都有详细的说明,有不明白的地方可以参阅。
原文地址:https://www.cnblogs.com/TFH-FPGA/p/3291639.html