DC综合流程

Design Compiler and the Design Flow

步骤

  • 将HDL描述的设计输入到Design Compiler中
  • Design Compiler使用technology libraries, synthetic or DesignWare libraries, and symbol libraries执行综合过程,并展示综合结果。
  • 将HDL翻译为门级描述之后,Design Compiler优化和映射设计到指定的工艺库。
  • 优化之后准备测试综合结果,查看是否满足设计要求。
  • 综合之后准备布局布线。

The High-Level Design Flow

Basic Synthesis Flow

参考资料

[1]. Synopsys Design Compiler User Guide.pdf

原文地址:https://www.cnblogs.com/OneFri/p/6079468.html