转 FPGA工程师应具备的素质

1.Verilog语言及其于硬件电路之间的关系; 

------做到写出代码的同时脑子里就出现相应的数字电路;

2.器件结构;

------最好熟练掌握Spartan3Vertix2Vertix5系列的器件内部基本结构,及其资源和Verilog行为描述方法的关系,等过段时间要掌握Spartan6Vertix6器件结构;

3.开发工具;

------- ISE(包括几个常用套件:floorplan ,PlanAhead ,Xpower ,Timing Analyzer ,FPGA Editor

    Modelsim ,Synplify , Quartus ,Timing Designer

4.数字电路;

-------组合电路,触发器,特别是D触发器构成分频器,奇数倍分频占空比为50%,时序电路,并且能用Verilog语言描叙

5.熟悉FPGA设计流程;

6.熟练掌握资源估算;

-------特别是slice,lut,ram等资源的估算

7.同步设计原理;

8.熟练掌握基本概念;

-------如建立时间,保持时间,流量(即所做FPGA设计的波特率)计算,延迟时间计算(所做FPGA设计),竞争冒险,消除毛刺的方法等

9.具备具体设计经验;

10.良好的设计思路;

-------流水线设计即熟称打拍子,在速率资源功耗之间的折中考虑

原文地址:https://www.cnblogs.com/MrYang/p/1930834.html