FPGAer:按键控制LED实战

四个按键对应控制四个LED不同的状态。

1、逻辑设计,Verilog编写。

key是低电平有效,led是高电平有效。

2、确定引脚。

引脚分配完成后就把编写好的逻辑模块接入到实物。

3、编译成功,烧写到开发板,实现功能。

4、仿真。

定义时间单位 和时间精度。

module 功能_tb;

③定义端口:

reg输入;

wire输出;

(这里定义是reg型还是wire型与输入、输出无关)

初始化输入:

initial begin

     ......

     .......

      end

代码例化:

功能 u_功能(

     ......

     ......

);

endmodule

经上述说,在开发板和modelsim都实现具体的效果,按键控制LED实战结束。

原文地址:https://www.cnblogs.com/FPGAer/p/12738838.html