Idea快捷键

返回值 Ctrl+Alt+v

实现接口重写方法 Ctrl+i

大小写切换快捷键 ctr+shift+u

自动导入包 Alt + Enter

try/catch 快捷提示 ctrl + alt + t

原文地址:https://www.cnblogs.com/Amywangqing/p/12676572.html