Xilinx ISE如何调用Modelsim进行联合仿真

图: 

 

在对设计的芯片进行测试时,经常要用到FPGA,可是里面的仿真工具却不如Modelsim那么好用,且在规模比较大时,ISE在仿真时,软件经常会报告内存限制的问题,此时一般会切换到Modelsim软件中去做仿真,这样便不会出现内存限制的问题,且仿真器也更加好用。这里介绍一下Xilinx ISE如何调用Modelsim进行联合仿真。

 

首先需要用Xilinx ISE里面的工具Simulation Library Compilation Wizard来产生仿真库文件。开始--所有程序--Xilinx ISEDesign Suits--ISE Desin Tools --Tool--Simulation Library Compilation Wizard,Windows版本的工具位置:

选择你需要的版本(本版本选择ModelsimSE),以及Modelsim的安装位置,选语言,支持的FPGA芯片,库的类型等:

选择器件类型,如果想省事,可以全部勾选:

点击生成库文件。

待库生成完毕后,这个时候回到ISE的安装目录下(xxx/Xilinx/14.3/ISE_DS/ISE/),就会看见一个modelsim.ini的文件(提示:在Modelsim的安装目录下也有一个相同名称的文件)

把这个在ISE的安装目录下的modelsim.ini打开,把阴影部分的内容拷贝至你安装好的Modelsim下的modelsim.ini文件中去(注意:要先改一下Modelsim下的这个文件的属性——去掉只读属性)

下面开始讲解Xilinx ISE如何调用Modelsim进行联合仿真

1、打开ISE Project Navigator

2、Edit--Preferences

在弹出的窗口中选择Integrated Tools,并设置Modelsim程序所在位置:

 在XC6VLX240T上进行右击--Design Properties

 

这个时候的仿真器选择Modelsim-SEverilog

便可以在ISE中调用modelsim进行仿真了。

 

注:如果不能仿真,可以将ise生成的modelsim.ini文件拷到ise的工程项目里面,否则可能无法调用modelsim仿真。并且,里面的内容可以适当删减一些,只留下那些modelsim中的.ini文件中的那些东西,否则启动modelsim可能会花很长时间。

 

如果喜欢本公众号也请多多分享哟,谢谢您的关注

 

原文地址:https://www.cnblogs.com/ASIC-Horizon/p/8403470.html