PTPX中的clock tree与LP design

PTPX在加入CPF/UPF这样的文件后,可以分析multi-voltage,power-gating这样的设计。

针对某个power rail的cell,PTPX支持进行annotate。

set_annotated_power –internal_power 0.1 –leakage_power 0.0 –rail VDDA U1

可以通过report_annotated_power –rail的命令来报告出来。

 

在设置power_enable_multi_rail_analysis之后,可以通过report_power –rails的命令来报告

某一个power rail的power消耗。否则可以通过命令current_power_rail/update_power/report_power来报告。

 

每一个power rail也有自己的几个attribute,internal_power,switching_power,leakage_power,

dynamic_power,total_power。

 

PTPX对clock network的支持:

在clock network还没有insert时,进行estimate;

在clock network已经insert之后,提供power analysis;

1)       Report:

报告clock network部分,report_power –groups clock_network

报告register部分,report_power –groups register

Clock network的power总共就这两部分。

2)       Annotating:

将transistor-level的simulator输出的power信息,通过set_annotated_clock_network_power

设置。可以细分为:-internal_power,-switching_power,-leakage_power,-total_power等option来进行标注。

3)       Estimating

通过命令estimate_clock_network_power,PTPX在内部会建立一个virtual balanced clock network,

来评估clock network的power。

只支持average的power analysis mode。

原文地址:https://www.cnblogs.com/-9-8/p/5676271.html