UVM中factory机制的使用

UVM中的factory机制一般用在sequence的重载,尤其是virtual sequence。当Test_case变化时,通过virtual sequence的重载,可以很容易构建新的测试。

        因为virtual可以控制选择sequence,sequence的启动,执行顺序等。

        在build_phase阶段,不需要改变default_sequence,不需要改变别处代码。

Transaction的重载,可以在不改变sequence,default_sequence的情况下,改变Transaction中数据的constraint。可以与sequence的重载一起使用,即可

         以完成constraint的改变,又可以完成sequence的类型,执行顺序等的改变。

component级别的重载没有遇到过,应该在DUT及其复杂的情况下,才会使用吧。

原文地址:https://www.cnblogs.com/-9-8/p/4465372.html