UVM中的factory机制实现

首先在Systemverilog中便有对于重载的最基本的支持。

1)定义task/function时,使用virtual关键字。那之后在test_case中调用时,便使用句柄指向的对象的类型而不是句柄的类型来调用task/function。

   好处:bird为基类,parrot为扩展类。bird类型的句柄可以指向parrot类型的对象,(进而在这种情况下,这个句柄可以直接赋值给parrot句柄)

            当这样的一个句柄调用bird和parrot都有的task、function时,带virtual关键字的调用parrot的task/function。不带virtual关键字的调用

            bird的task/function。而此时其他的variable的调用,因为句柄是bird类型,所以调用的应该还是基类的数据。这样便相当于重定义了基类

            中的某些行为。

2)constraint的重载,这个由Systemverilog直接支持,在扩展类中定义了和基类相同的constraint时,由扩展类的句柄调用,扩展类constraint

            直接覆盖掉基类的constraint。这样方便于重定义某些不常用的特定的constraint。一般应用在transaction/sequence的重载中。

Systemverilog定义好了最基本的重载机制。但是有一个不方便使用的地方是:每次需要重载时,必须都将基类和扩展类进行new,然后在赋值操作。

           这样的操作过程在UVM这样的定向可重用,自动化的平台是不被允许的。所以UVM加入了自己的factory进制来实现这一过程。

UVM中使用factory机制的限制:

1)不管是base_class还是extended_class,在注册时,都必须注册到factory机制中。

            uvm_component_utils(class_name);

            uvm_object_utils(class_name);

2)被重载的类要使用factory进制的实例化方式。

            name = base_class::type_id::create("name");

3)重载的类必须是被重载的类的扩展类,被重载的类必须是基类。

4)UVM中的component和object之间不能重载,尽管component扩展自object。

factory主要是根据字符串来创建属于该字符串的实例。UVM内部维护一个联合数组global_tab,在用factory进制进行注册时,便加入一条目,在用

      factory机制进行创建时,根据类名创建实例,再返回。当使用如下所示的函数定义过之后时,UVM会调用另外一系列接口,create_object_by_name等时,

      UVM依然实现根据类名来创建实例,进而返回的实例会是extended的类型。 

本质上是对Systemverilog的new函数进行重载,实现基类句柄,扩展类对象的产生。

UVM中factory重载的函数。一般都在new之前调用。

1)component类中的函数:只能在component系的函数中调用。

   set_type_override_by_type(uvm_object_wrapper original_type, uvm_object_wrapper override_type, bit replace = 1);

               uvm_object_wrapper型的参数类型,由xxx::get_type()得到。

    set_inst_override_by_type(string relative_inst_path, uvm_object_wrapper original_type, uvm_object_wrapper override_type);

               set_inst_override_by_type("env.o_agt.mon", my_monitor::get_type(), new_monitor::get_type() );

    set_type_override(string original_type_name, string override_type_name, bit replace = 1);

     set_inst_override(string relative_inst_path, string original_type_name, string override_type_name);

                set_inst_override("env.o_agt.mon", "my_monitor", "new_monitor");

2)uvm_favtory类中的函数,系统存在一个uvm_factory类型的全局变量factory。可以在top_tb的initial语句中使用。

      函数名类似,前加factory调用。

      factory.set_type_override_by_type(bird::get_type(), parrot::get_type());

3)直接加在命令行中command参数中。

可以进行多个连续的重载,重载的类在进行重载。

原文地址:https://www.cnblogs.com/-9-8/p/4465280.html